Tesis sobre el tema "CMP polishing"

Siga este enlace para ver otros tipos de publicaciones sobre el tema: CMP polishing.

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte los 30 mejores tesis para su investigación sobre el tema "CMP polishing".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Explore tesis sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.

1

Ng, Dedy. "Nanoparticles removal in post-CMP (Chemical-Mechanical Polishing) cleaning". Thesis, Texas A&M University, 2005. http://hdl.handle.net/1969.1/4159.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Research was performed to study the particle adhesion on the wafer surface after the chemical-mechanical polishing (CMP) process. The embedded particles can be abrasive particles from the slurry, debris from pad material, and particles of film being polished. Different methods of particle removal mechanism were investigated in order to find out the most effective technique. In post-CMP cleaning, surfactant was added in the solution. Results were compared with cleaning without surfactant and showed that cleaning was more effective with the combined interaction of the mechanical effort from the brush sweeping and the chemistry of the surfactant in the solution (i.e., tribochemical interaction). Numerical analysis was also performed to predict the particle removal rate with the addition of surfactants. The van der Waals forces present in the wafer-particle interface were calculated in order to find the energy required to remove the particle. Finally, the adhesion process was studied by modeling the van der Waals force as a function of separation distance between the particle and the surface. The successful adaptation of elasticity theory to nanoparticle-surface interaction brought insight into CMP cleaning mechanisms. The model tells us that it is not always the case that as the separation distance is decreased, the attraction force will be increased. The force value estimated can be used for slurry design and CMP process estimation.
2

Born, Melanie P. (Melanie Providencia) 1975. "Ice and abrasive particles : an alternative CMP polishing technique". Thesis, Massachusetts Institute of Technology, 1998. http://hdl.handle.net/1721.1/9570.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Kumar, Akhauri Prakash. "Agent based diagnostic system for the defect analysis during chemical mechanical polishing (CMP)". Heimsheim Jost-Jetter, 2005. http://deposit.d-nb.de/cgi-bin/dokserv?idn=976561247.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Osorno, Andres. "Dynamic, In-Situ Pressure Measurements during CMP". Thesis, Georgia Institute of Technology, 2005. http://hdl.handle.net/1853/7497.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
A rotational setup for measuring interfacial fluid pressure and temperature was successfully constructed. Interfacial fluid measurements were performed with various slurries, slurry flow rates, and pad topographies. It was experimentally determined that the pad topography has the biggest effect in pressure and temperature distribution. This was also confirmed by tilt experiments ran in a rotational environment. For all cases, the edge high conditioned pad displayed the most changes during the experiments. For an edge high conditioned pad, the fluid pressure was found to be mostly subambient reaching levels of up to 42 kPa at the center of the fixture, and dissipating towards the edges. The pressure maps appear to be almost center symmetric. The pressure was found to be positive during the first second of contact, and rapidly turn subambient. The Subambient pressures stabilize after about 5 seconds, and their suction force was found to slow the rotating platen significantly. Suction forces were confirmed by displacement observed during the tilt experiments. The fixtures center was sucked down into the pad up to 20 m, and tends to tilt towards the leading edge. Interfacial temperatures were also found to vary with pad geometry. The edge-high conditioned pad exhibited changes of up to 4 C, concentrated at the center. The relative position and shape of these temperature rises matches the results observed in the pressure experiments. Temperature takes a longer time to reach equilibrium, up to 30 seconds in most measurements.
5

Sampurno, Yasa. "Fundamental Consumables Characterization of Advanced Dielectric and Metal Chemical Mechanical Planarization Processes". Diss., The University of Arizona, 2008. http://hdl.handle.net/10150/194544.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
This dissertation presents a series of studies relating to kinetics and kinematics of inter-layer dielectric and metal chemical mechanical planarization processes. These are also evaluated with the purposes of minimizing environmental and cost of ownership impact.The first study is performed to obtain the real-time substrate temperature during the polishing process and is specifically intended to understand the temperature distribution across the polishing wafer during the chemical mechanical planarization process. Later, this technique is implemented to study the effect of slurry injection position for optimum slurry usage. It is known that the performance of chemical mechanical planarization depends significantly on the polishing pad and the kinematics involved in the process. Variations in pad material and pad grooving type as well as pressure and sliding velocity can affect polishing performance. One study in this dissertation investigates thermoset and thermoplastic pad materials with different grooving methods and patterns. The study is conducted on multiple pressure and sliding velocity variations to understand the characteristic of each pad. The analysis method elaborated in this study can be applied generically.A subsequent study focuses in a slurry characterization technique. Slurry, a critical component in chemical mechanical planarization, is typically a water-based dispersion of fine abrasive particles with various additives to control material removal rate and microscratches. Simultaneous turbidity and low angle light scattering methods under well-defined mixing conditions are shown to quantify the stability of abrasive particle from aggregations. Further contribution of this dissertation involves studies related to the spectral analysis of raw shear force and down force data obtained during chemical mechanical planarization. These studies implemented Fast Fourier Transforms to convert force data from time to frequency domain. A study is performed to detect the presence of larger, defect-causing particles during polishing. In a further application on diamond disc conditioning work is performed to achieve optimum break-in time and an optimum conditioning duty cycle. Studies on spectral analysis are also extended to planarization of shallow trench isolation pattern wafers to monitor the polishing progress in real-time.
6

Choi, Changhoon. "Kinetic study of copper chemistry in chemical mechanical polishing (CMP) by an in-situ real time measurement technique". [Ames, Iowa : Iowa State University], 2008.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Palla, Byron Joseph. "Mixed surfactant systems to control dispersion stability in severe environments for enhancing chemical mechanical polishing (CMP) of metal surfaces". [Florida] : State University System of Florida, 2000. http://etd.fcla.edu/etd/uf/2000/ana6408/byronpalla.PDF.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Thesis (Ph. D.)--University of Florida, 2000.
Title from first page of PDF file. Document formatted into pages; contains xvii, 174 p.; also contains graphics. Vita. Includes bibliographical references (p. 165-173).
8

Zantye, Parshuram B. "Processing, Reliability And Integration Issues In Chemical Mechanical Planarization". [Tampa, Fla.] : University of South Florida, 2005. http://purl.fcla.edu/fcla/etd/SFE0001263.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Toth, Réka. "Mécanismes physico-chimiques du polissage". Thesis, Paris 6, 2016. http://www.theses.fr/2016PA066763.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Le polissage mécano-chimique (CMP pour Chemical Mechanical Polishing) consiste à appliquer une suspension colloïdale contenant des particules abrasives sur une surface solide (le substrat) au travers d’une pression exercée par un disque de polymère (le pad) en rotation. Un substrat de silice et des particules d’oxyde de cérium ont été choisis comme système de référence pour aider à la compréhension du mécanisme de polissage CMP. Des études macroscopiques ont été réalisées sur ce système afin d'étudier les effets de la concentration ou de la taille des particules abrasives, ainsi que l’influence du pH ou de la force ionique de la suspension de polissage. Le mécanisme a également été étudié à un niveau microscopique, en se concentrant sur les interactions entre le substrat et les particules abrasives. Cela a été effectué par une approche multi-instrumentale (zêtamétrie, ATR-FTIR, MET, SAXS, dosages chimiques, AFM). L’étude du polissage implique une bonne connaissance des surfaces en jeu, l'acido-basicité et l'oxydo-réduction des surfaces de CeO2 ont donc été étudiés. A partir de la compréhension acquise, des modifications des particules abrasives ont été réalisées (synthèse de particules cœur-coquilles et de solutions solides), et des particules de CeO2 de morphologies différentes testées
Chemical Mechanical Polishing (CMP) consists in applying a slurry of colloidal particles onto a solid surface called substrate, through a pressure applied with a rotating polymeric pad. A silica substrate and CeO2 particles were chosen as reference system to study the mechanism of CMP. Macroscopic studies have shown the effect of the concentration and the size of abrasive particles, as well as the importance of pH and ionic strength. The mechanism was more thoroughly studied by recirculating the slurry in fixed conditions. Surface interactions between the substrate and the particles were studied thanks to a multi-instrumental approach (zeta potential, ATR-FTIR, TEM, SAXS, chemical analysis, AFM).A good understanding of the surfaces at stake is necessary to study the mechanism of polishing. Acid-basic and redox properties of the CeO2 surface were therefore investigated. Finally, the surface chemistry of the abrasive particles were modified (synthesis of core-shell particles and solid solutions), and different ceria morphologies were tested
10

Kumar, Akhauri Prakash [Verfasser]. "Agent based diagnostic system for the defect analysis during chemical mechanical polishing (CMP) / Universität Stuttgart, IFF, Institut für Industrielle Fertigung und Fabrikbetrieb ... Akhauri Prakash Kumar". Heimsheim : Jost-Jetter, 2005. http://d-nb.info/976561247/34.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
11

Henault, Bastien. "Identification des processus physico-chimiques à l’origine des défauts locaux des surfaces polies optique et superpolies". Thesis, Université Clermont Auvergne‎ (2017-2020), 2018. http://www.theses.fr/2018CLFAC090.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Ce travail de thèse porte sur l’étude des mécanismes physico-chimiques mis en jeu lors du polissage mécano-chimique du Zerodur® (vitrocéramique) par un abrasif à base d’oxydes de cérium. Les défauts obtenus à l’issu du polissage ont été caractérisés en microscopie optique et par microscopie à force atomique (AFM). Il en ressort deux principales populations, à savoir des rayures de type « fines » (longitudinales et continues) causées par des débris de matière polie. La seconde est la typologie « rayure éclat » (fractures perpendiculaires au sens de la rayure) causées par des agglomérats d’abrasif. Des analyses en spectroscopie RX de l’abrasif montrent une augmentation du ratio Ce3+/Ce4+ après la phase de polissage, confirmant la part chimique du polissage du Zerodur®. Des analyses de potentiel zêta ont été menées sur ces mêmes abrasifs et montrent une évolution de la charge de surface des particules abrasives. Des observations AFM montrent que plus la part Ce4+ est importante et meilleure est la qualité finale de la surface polie. La surface polie a également été sondée en ToF-SIMS. Il en ressort la présence d’une couche enrichie en cérium de plusieurs dizaines de nanomètres, lieu de la réaction mécano-chimique de polissage. Plus précisément, cette réaction semble avoir lieu dans la phase vitreuse du Zerodur®
This PhD work focuses on the study of the physicochemical mechanisms involved in the chemical-mechanical polishing of Zerodur® (glass-ceramics) with an abrasive based on cerium oxides. The defects observed after polishing were characterized by optical microscopy and atomic force microscopy (AFM). Two main populations were observed, namely "fine" (longitudinal and continuous stripes) caused by debris of polished material. The second is called "scratch" (perpendicular fractures) caused by abrasive agglomerates. RX spectroscopic analyzes of the abrasive showed an increase in the Ce3+/ Ce4+ ratio after the polishing phase. This point confirms the chemical part of Zerodur® polishing. Zeta potential analyzes were carried out on these same abrasives and show an evolution of the abrasive surface charge. AFM observations show that the higher the Ce4+ concentration, the better the final polished surface quality. The polished surface was also probed with ToF-SIMS analyzes. This shows the presence of a cerium-enriched layer of several tens of nanometers, which may be a site for the chemical-mechanical polishing reaction. More precisely, this reaction seems to take place in the glassy phase of Zerodur®
12

Coutinho, Cecil A. "Multi-functional composite materials for catalysis and chemical mechanical planarization". [Tampa, Fla] : University of South Florida, 2009. http://purl.fcla.edu/usf/dc/et/SFE0002980.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
13

Dubois, Christelle. "Evaluation des performances isolantes de couches de SIOCH poreuses et de polymères destinés aux technologies d'intégration innovantes". Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00625490.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
L'objectif de ce travail de thèse a été d'évaluer, à partir d'outils de caractérisation électrique (spectroscopie d'impédance basse fréquence et courants thermo-stimulés), l'impact des étapes de polissage mécanochimique (CMP) et de recuits thermiques sur les propriétés diélectriques de matériaux utilisés pour les dernières générations de circuits intégrés. Une première partie est focalisée sur le matériau SiOCH poreux déposé par voie chimique " en phase vapeur " assisté par plasma (PECVD) suivant une approche porogène (p=26%, d=2nm et er=2,5). Son intégration dans les technologies 45nm nécessite l'utilisation d'un procédé de 'CMP directe' qui induit une dégradation des propriétés isolantes attribuée à l'adsorption de surfactants et de molécules d'eau. L'analyse diélectrique sur une large gamme de fréquence (10-1Hz- 105Hz) et de température (-120°C -200°C) a mis en évidence plusieurs mécanismes de relaxation diélectrique et de conduction liés à la présence de molécules nanoconfinées (eau et porogène) dans les pores du matériau. L'étude de ces mécanismes a permis d'illustrer le phénomène de reprise en eau du SiOCH poreux ainsi que d'évaluer la capacité de traitements thermiques à en restaurer les performances. Une seconde partie concerne l'étude d'une résine époxy chargée avec des nanoparticules de silice, utilisée en tant que 'wafer level underfill' dans les technologies d'intégration 3D. Les analyses en spectroscopie d'impédance ont montré que l'ajout de nanoparticules de silice s'accompagne d'une élévation de la température de transition vitreuse et de la permittivité diélectrique, ainsi que d'une diminution de la conductivité basse fréquence. L'autre contribution majeure des mesures diélectriques a été de montrer qu'un refroidissement trop rapide de la résine à l'issue de la réticulation était responsable d'une contrainte interne qui pourra occasionner des problèmes de fiabilité pour l'application.
14

Ng, Dedy. "Interfacial forces in chemical-mechanical polishing". Thesis, 2007. http://hdl.handle.net/1969.1/ETD-TAMU-2548.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
The demand for microelectronic device miniaturization requires new concepts and technology improvement in the integrated circuits fabrication. In last two decades, Chemical-Mechanical Polishing (CMP) has emerged as the process of choice for planarization. The process takes place at the interface of a substrate, a polishing pad, and an abrasive containing slurry. This synergetic process involves several forces in multi-length scales and multi-mechanisms. This research contributes fundamental understanding of surface and interface sciences of microelectronic materials with three major objectives. In order to extend the industrial impact of this research, the chemical-mechanical polishing (CMP) is used as a model system for this study. The first objective of this research is to investigate the interfacial forces in the CMP system. For the first time, the interfacial forces are discussed systematically and comparatively so that key forces in CMP can be pinpointed. The second objective of this research is to understand the basic principles of lubrication, i.e., fluid drag force that can be used to monitor, evaluate, and optimize CMP processes. New parameters were introduced to include the change of material properties during CMP. Using the experimental results, a new equation was developed to understand the principle of lubrication behind the CMP. The third objective is to study the synergy of those interfacial forces with electrochemistry. The electro-chemical-mechanical polishing (ECMP) of copper was studied. Experiments were conducted on the tribometer in combination with a potentiostat. Friction coefficient was used to monitor the polishing process and correlated with the wear behavior of post-CMP samples. Surface characterization was performed using AFM, SEM, and XPS techniques. Results from experiments were used to generate a new wear model, which provided insight from CMP mechanisms. The ECMP is currently the newest technique used in the semiconductor industries. This research is expected to contribute to the CMP technology and improve its process performance. This dissertation consists of six chapters. The first chapter covers the introduction and background information of surface forces and CMP. The motivation and objectives are discussed in the second chapter. The three major objectives which include approaches and expected results are covered in the next three chapters. Finally chapter VI summarizes the major discovery in this research and provides some recommendations for future work.
15

Cheng, Huang-chi y 鄭煌基. "Characteristic Analysis of Polishing System for Low Stress CMP Process". Thesis, 2007. http://ndltd.ncl.edu.tw/handle/00249900843223668900.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立中正大學
機械工程所
95
As the speed requirement of electronic devices becomeaq higher and higher, signal transmission delay time must be reduced to meet the requirement. However, the speed is limited by the transmission line with a RC time constant. Utilizing the materials such as copper wire and low dielectric constant can solve the RC time delay. To use the low-k material, the low-stress polishing process must be developed to the semiconductor manufacturing process because the low-k material can not sustain high stress. This thesis proposes a novel model to estimate the material removal rate (MRR) for low-stress polishing system. According to the height of asperity, the whole asperity is divided into several groups. In each group, the asperity will be delaminated at the equal distances. Based on the wear theory, and applying the force balance in the model, the MRR is estimated to understand the complicated contact behavior between wafer, abrasive, and pad. We can investigate the elastic, elastic-plastic, and plastic contact behavior over abrasive and wafer surface interfaces in each layer of different asperity such that the MRR in each layer can be estimated. Besides, we can understand the efforts of parameters in the polishing process by theoretical simulation. Finally, the theoretical predictions are validated by comparing the trends of experiments made by several published literature.
16

Lin, Chih-Peng y 林志朋. "A Study on the Chemomechanical Polishing(CMP) Properties of Marble". Thesis, 1997. http://ndltd.ncl.edu.tw/handle/85961426723126535872.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立成功大學
資源工程學系
85
ABSTRACT Chemomechanical polishing (CMP) for planarization has become one of the most rapidly growing segments of the semiconductor manufacturing market.Application of CMP for the planarization of interlayer dielectric as well as polysilicon and tungsten metal studs was pioneered by IBM and had been used in the fabrication of very large scale integrated circuits since 1985.CMP is carried out by pressing a rotating wafer against a moving polishing pad on which a suitable slurry is dispensed. For oxide polishing, the slurry consists of silica particles dispersed in an aqueous solution. The oxide surface is chemically modified and this modified layer can be removed by mechanical action. The speed of the marble surface reaction to acid is very fast. We can observe the marble surface before and after acid etching and polishing process by means of infrared spectroscope. Under the acid circumstance, the oxalic acid will react with the marble surface and produces fine calcite particles attached on the surface. After a long time of polishing, this reaction will cause etching pores. In mechanical polishing condition, oxalic acid solution has the function of erosion and can remove the scraps. CMP under alkaline condition, sodium silicate solution has the function of gelation, and forms a film on the marble surface which filling the pores and cracks on the marble surface, instead of planarization. α-serum is a kind of Na- Si organic substance co-precipitated from oxalic acid and sodium silicate. This serum is formed in the range of pH from 1.43 to 3.50 and the particle''s size is distributed between 5μm ~100μ m.. It can dissolve in water, but can''t dissolve in alcohol. α- serum will offer a chemical reaction in the polishing of marble under acid condition. By means of mechanical polishing, it can promote the function of planarization, in the mean time, the chemical reaction can also remove the scraps caused by mechanical polishing. For polishing of the white marble, the largest brightness after mechanical polishing is only 82, but the brightness after CMP of α-serum is above 95. It can also shorten the duration of polishing time. In the polishing experiment, the infusion of chemical polishing after the initial period of mechanical polishing will change the abrasion rate and appear the difference between mechanical polishing and chemical polishing. The variation of line regression rate of brightness can explain the quantity of both mechanical and chemical polishing.
17

Wei, Wei y 魏薇. "Reclamation and Reuse for Chemical Mechanical Polishing (CMP) Wastewater Assessment". Thesis, 2004. http://ndltd.ncl.edu.tw/handle/99300311184389940022.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
淡江大學
水資源及環境工程學系碩士班
94
Due to the lack of water resources becoming a global concern, this study will delve into the possibility and analyze the economic effect of systemically reclaiming and reusing CMP wastewater, considered to be highly recycle-valuable, produced mainly by the semiconductor industry. This study will create an optimization model which should take into consideration not only the balance of water system, but also legal policies such as internalization of external cost and economic incentives. The main structural formula is based on the case data and shall be represented in the simplest way possible. Other than the water utility cost and wastewater management cost typically found in the general industrial water expenses, this study also includes wastewater pollution penalty to be a major formula. As a result, the final structure for the estimation of the semiconductor CMP wastewater Reclamation and Reuse system cost comes out to be water utility + wastewater management + wastewater pollution. Other than these three major formulas, other minor equations will be formed specifically for each individual case. The advantages of the optimization model used by this study are its real-time property, feasibility evaluation and exclusiveness. Such model simulates results that optimize the consequences of deploying the wastewater Reclamation and Reuse system. Based on the fixed Reclamation coefficient, we can come up with the “Quantity & Cost correlation graph.” This graph provides the semiconductor manufacturers the ability to make better decisions, based on the total water expenditures, when facing unexpected problems or emergencies. On the other hand, from the fixed quantity we can come up with the “Reclamation coefficient & Cost correlation graph,” which lays out the feasibility of deploying such system based on the cost trend: if the cost is higher than the proposed income, this system will prove to be nothing but a liability to the manufacturers. As a result, the major contributions of this study are instantaneous contingency plan and economic assessment it offers to the manufacturers in semiconductor industry who plan to use CMP wastewater Reclamation and Reuse system. After all, due to the constrained global resources, water price is bound to soar. Also, since there is yet a wastewater discharge penalty domestically, Reclamation and Reuse system for wastewater is definitely the way to go, especially companies such as semiconductor manufacturers who utilize massive amount of water relative to others. Therefore, the assessment to adopt such a system becomes their biggest and more important issue at hand.
18

Kuo, Hung-Hsien y 郭弘憲. "Study on the chemical mechanical polishing (CMP) pad life time extension". Thesis, 2007. http://ndltd.ncl.edu.tw/handle/68649403018339463435.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
19

Chen, Pei-Wun y 陳珮紋. "Magnetic-Seeding Aggregation of Nanoparticles from Chemical Mechanical Polishing(CMP) Wastewater". Thesis, 2004. http://ndltd.ncl.edu.tw/handle/71264099796500550383.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立中央大學
環境工程研究所
92
Nano materials have drawn great attractions from scientists and engineers for their phenomena, syntheses, and applications all over our country. It can be foreseen that, within near future, there would be more nano-scale waste in our environment. For example, nanoparticles (e.g., SiO2) from chemical-mechanical polishing (CMP) process of the semiconductor industry are very small and highly charged; hence, they are very difficult to be separated from wastewaters. The purpose of this study is to use magnetic seeding aggregation to enhance the aggregation of silica nanoparticles, and as the consequence, to improve the removal efficiency of silica nanoparticles. The collision frequency between commercial magnetite and silica nanoparticles might not be sufficient since the number of particles per unit weight of the commercial magnetite is very small due to its large size; therefore, magnetite nanoparticles are required to have efficient removal efficiency of the turbidity. Magnetite nanoparticles, whose saturated magnetic susceptibility and size were 55.6 emu/g and less than 300 nm, respectively, were synthesized by chemical co-precipitation of FeCl2•4H2O and FeCl3 in NaOH solution in this study. Synthesized magnetite nanoparticles were then used in the magnetic seeding aggregation of CMP wastewater. Influences of solution pH, salt concentration, G value, and application of an external magnetic field during sedimentation on the removal efficiency of nano silica were examined. It was found that when the solution pH is about 6, NaCl = 0 M, and G = 30 ~ 60 (1/sec), the removal efficiency of the turbidity is the highest, which is about 95% or even higher. When magnetite-silica aggregates settled down in an external magnetic field, besides gravity, they also experienced magnetic forces, which enhance the settling velocity. Hence, high removal efficiency could be achieved in a much shorter time.
20

Huang, Wei-Shun y 黃韋舜. "Experiment and analysis of chemical mechanical polishing(CMP) of sapphire wafer". Thesis, 2010. http://ndltd.ncl.edu.tw/handle/62006275480949672724.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立臺灣科技大學
機械工程系
98
The study mainly explores the processing mechanism of a hard and brittle material, sapphire wafer substrate by chemical mechanical polishing (CMP). A polishing fluid containing SiO2 is used to contact with substrate to produce chemical reaction for removal of sapphire wafer. The paper observes how polishing pads under different pressures, different rotational speeds of polishing and different profiles, as well as the polishing particle size and polishing fluid concentrations create changes to the removal volume and surface profile of sapphire wafer. Also using regression analysis theory, and focusing on the equation MRR = KpPV proposed for Preston polishing glass, improvement is to be made. Focusing on the error caused by the concentration change of polishing fluid, a compensation parameter, Crv is proposed. Finally, Taguchi method is adopted to carry out optimal ANOVA, acquiring the effects of different parameters on removal volume, and the change of surface profile under different parameters. As shown from the results of experimental analysis, if the lower pressure and rotational speed are greater, the removal volume will be more. The removal volume of the polishing pad with pattern on the surface is greater than that of the polishing pad without pattern on the surface. As to polishing particle size and polishing concentration, when particle size is smaller, the concentration of polishing fluid is higher, and the quantity of polishing particles of polishing wafer is more, thus achieving better removal volume. And as known from Taguchi optimization analysis, the effects of volume concentration and particle size of polishing fluid on removal volume are more obvious than the effects of lower pressure and rotational speed. In the aspect of surface profile, when lower pressure is greater and rotational speed is faster, scratches will be formed on the surface. When the polishing particle size is smaller and the concentration of polishing fluid is denser, there is a trend that more scratches are formed on the surface. Through the above analytical results, much help is offered to the studies of sapphire wafer polishing.
21

Tang, Brian D. y Duane S. Boning. "Characterization and Modeling of Chemical-Mechanical Polishing for Polysilicon Microstructures". 2003. http://hdl.handle.net/1721.1/3901.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Long the dominant method of wafer planarization in the integrated circuit (IC) industry, chemical-mechanical polishing is starting to play an important role in microelectromechnical systems (MEMS). We present an experiment to characterize a polysilicon CMP process with the specific goal of examining MEMS sized test structures. We utilize previously discussed models and examine whether the same assumptions from IC CMP can be made for MEMS CMP. We find that CMP at the MEMS scale is not just pattern density dependent, but also partly dependent on feature size. Also, we find that new layout designs relevant to MEMS can negatively impact how well existing CMP models simulate polishing, motivating the need for further model development.
Singapore-MIT Alliance (SMA)
22

Lee, Hsuan-Yu y 李軒宇. "Development of the Piezoelectric based Vibration Polishing System for Low Stress CMP". Thesis, 2005. http://ndltd.ncl.edu.tw/handle/g627a5.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立中正大學
機械工程所
94
As the demand of the integrated circuit process requires more capacity and higher resolution, interconnect resistance-capacitance (RC) time delay becomes an important factors. A material with the low dielectric constant (low-K) and copper wire can be utilized to achieve low RC time constant. However, the low K material cannot sustain high stress, and thus low-stress chemical mechanical polishing (CMP) process becomes an important process for surface manufacturing of semiconductor. This thesis proposes a novel concept that uses piezoelectric actuators to provide a dynamic pressure for the CMP process. As compared to the traditional CMP process, the objects of this approach are to achieve higher material removal rate (MRR) and also protect the inner layer of the low K material in the wafer. Based on the force balance of the wear model, theoretical MRR models are developed to investigate the elastic, elastic-plastic, and plastic contact behavior over abrasive and wafer surface interface such that the MRR can be estimated. The parameter study is performed to understand the correlation between designed variables. Experiments are conducted to verify the concept and to compare with the simulation result. The phenomenon that observed in the simulation is also demonstrated in the experiments.
23

Xiao, Bai-Cheng y 蕭百成. "Analysis on Performance Index of Fine Polishing Pad for Copper CMP Process". Thesis, 2019. http://ndltd.ncl.edu.tw/handle/c2u99h.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立臺灣科技大學
機械工程系
107
Semiconductor devices recently are consistently heading to achieve higher resolution due to copper wires interconnect in back end of line(BEOL), Chemical Mechanical Polishing/Planarization(CMP) continues to face many challenges to fulfill such demands. The CMP process can effectively improve the flatness and surface quality of wafer and thin film surface. Therefore, the selection of appropriate influencing factors can reduce the scratches and the indentation of the wires in the process. Dishing and erosion phenomena formed on the wires after polishing can be basically caused by the physical properties of the polishing pad. This study mainly investigates the performance index of physical properties of polishing pad on the dishing and erosion on the wire after BEOL Cu CMP. This study is divided into three parts: first, structural observation is performed on the fine polishing pad and the measurement of mechanical properties including compressibility, compression recovery rate, hardness and bearing area ratio. The second part is the CMP of copper film and barrier film wafer through different process parameters and discusses the correlation between material removal rate(MRR), material selection ratio and polishing pad characteristics. Results show that the MRR is proportional to the compression recovery rate and the bearing area ratio, and the selectivity ratio of Cu/Ta and Cu/TaN is highly correlated with the compression ratio and transition section of bearing area ratio(Sk). Finally, the fine polishing pad is applied to the copper pattern CMP experiment to investigate the correlation between dishing, and erosion related to the physical properties of polishing pad after polishing. Results of correlation analysis show that pore size, compression ratio, and compression recovery rate are highly correlated with the dishing of copper wires. Consequently, the bearing area ratio and compression recovery rate are highly correlated with the erosion of copper wire patterns. Result of the study can be used to select from polishing pad to design Cu CMP.
24

Yang, Wei-Zheng y 楊緯政. "Ultrasonic Vibration and Water-Jet Assisted Diamond Disk Dressing Characteristic of CMP Polishing Pad". Thesis, 2011. http://ndltd.ncl.edu.tw/handle/66594057681439110536.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立勤益科技大學
機械工程系
99
This study introduces an ultrasonic, vibration-assisted, chemical mechanical polishing (UV-CMP) method to improve the fabrication process and machining efficiency and an ultrasonic, vibration-assisted, diamond disk (UV-DD) method to enhance the diamond work number, then extension diamond disk life. The removal rate of the copper substrate in CMP and UV-CMP are compared. The pad cut rate, friction force, and pad surface profiles of TDD and UV-DD are also investigated in experiments. In addition an experimental investigation of the dressing characteristics of a porous polishing pad using a combination process of high-pressure water jet conditioning (HPWJC) and diamond disk conditioning (DDC) is also investigated. The HPWJC and DDC dressing mechanisms were integrated to improve the dressing efficiency. Experimental results reveal that UV-DD can produce twice the pad cut rate and reduce torque force compared to TDD. Consequently, a dressing time reduction by half is expected, and hence, the diamond life is extended. The removal rate of the copper substrate polished by UV-CMP is higher than that of traditional CMP because in UV-CMP, a passive layer on the copper surface, formed by the chemical action of the slurry, will be removed not only by the mechanical action of CMP but also by ultrasonic action. In addition, the surface roughness improves and the torque force reduces dramatically. It is found that although the HPWJC can clean the slurry residue from the pad surface and consumes less pad material, it cannot remove the glazed area layer formed as a result of plastic deformation. DDC can eliminate the pads glaze layer and restore the pad asperities, but some residual chips still remain the sides of the pores or inside the pores. HPWJC + DDC can not only remove the embedded slurry particulates and glazed layer, but also clean the slurry residue accumulated on the pad surface, and regenerate the pad asperities. In addition, the chip roughness in the pores, created by the diamond grit, are completely removed by the HPWJC + DDC conditioning process, which helps achieve the highest material removal rate. In summary, UV-CMP, HPWJC and UV-DD technology has the potential to be effectively used as a wafer polishing and pad conditioning method.
25

hsieh, yow yi y 謝耀儀. "A SYUDY ON THE EFFECTS OF FILM STRESS AND POLISHING STRESS ON COPPER CMP". Thesis, 2002. http://ndltd.ncl.edu.tw/handle/09214030508594812993.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立中興大學
機械工程學系
90
ABSTRACT Chemical mechanical polishing (CMP) is the most commonly used process in the planarization of wafer surfaces. This thesis, from the stress viewpoint, investigates the effects of intrinsic stress and extrinsic stress on the removal rate of copper CMP. The former is the stress from the sputtering and annealing processes while the latter is the stress occurred in the polishing process. Three types of experiments are designed and conducted in this thesis, including chemical corrosion, mechanical polishing, and CMP. Achievement of this study includes the following items: (1) The copper film after sputtering and annealing sustains tensile stress that intensifies corrosion rate. (2) Film stress increases after annealing. For copper film with 1,000 nm and 2,000 nm in thickness, the stress increases as the annealing temperature increases. For film of 1,500nm in thickness, the stress decreases with the annealing temperature. The corrosion rates of films with annealing temperature at 150°C and 250°C increase with film stress. But the rate decrease with film stress for copper film annealed at 200°C. (3) The stress of 1,000nm thick copper film increases at high annealing temperature. Meanwhile, the corresponding corrosion rate increases. (4) Continuous polishing on 1,000nm copper film showed that the removal rate increases rapidly. The removal rate is strongly dependent upon film stress under this condition. (5) The removal rate of mechanical polishing, however, is relatively low. As the rate is less than 20Å/min, it indicates that the removal mechanism of CMP is not a simple superposition of chemical corrosion and mechanical polishing.
26

Hung-Yi, Chang y 張弘毅. "Development of New Inspection System for Surface Defect Detection on CMP Pad (Chemical Mechanical Polishing)". Thesis, 2014. http://ndltd.ncl.edu.tw/handle/72756690114407346275.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
中華大學
電機工程學系碩士班
102
The semiconductor industry is very important and this industry always have new technologies rapidly and evolving. Within decade ago, just as predicted by Moore's Law, as [3], the chip size continue to be required to reduce its size and also quickly increase integrated circuits (IC) number of transistors on the chip, the IC manufacturing process technology has become more complex. In response to the high demand of electronic components in this booming electronics industry, in addition to the semiconductor wafer mass production, the process becomes sophisticated and demanding miniature. Meanwhile, process defect detection becomes the key elements which will dominate the whole process generation development. This paper will focus on chemical mechanical polishing (CMP), . the key process of IC fabrication, how to detect the abrasive defects on pad surface effectively and these defects on the pads will caused not only semiconductor wafers unnecessary yield loss and wafers scrapped but also semiconductor production plant capacity drop and unnecessary losing the resources. Therefore, the surface of the polishing pad related defect detection technology has become an important research topic, based on the search of relating literature, we can understand, so far no such CMP pad defect detection procedures has been built, on the contrary, due to the cost concern, the visual way to check the pad quality is still the mainstream of the production line quality control(QC). Currently, the QC of CMP pad detection couldn’t be avoided with "people". The challenges were encountered and influenced with fatigue, the environment, and the emotional stress. This study attempts to identify a new way which is from the pad’ surface image to identify the surface defect of polishing pad. This method could propose an efficient, low-cost and high recognition rate with excellent automatic identification for pads’ surface imperfections, moreover, the fast and effective identification and classification of defects could increase its true positive rate (TPR) of up to 87%. And the computation time is about 10 seconds of the experimental test results, this study has been proved of polishing pad surface defect identification procedures in the industry, and provides a systematic and effective identification technology.
27

Pin-ChunHsieh y 謝濱駿. "Application of magnetic particles (Fe3O4) on chemical mechanical polishing (CMP) wastewater treatment and regeneration for reuse". Thesis, 2014. http://ndltd.ncl.edu.tw/handle/14417843359337310340.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立雲林科技大學
環境與安全衛生工程系
102
In this study, the magnetic particles with the applied field, investigate the effect of the chemical mechanical polishing (CMP) the effectiveness of the wastewater treatment, and by adjusting the pH, with rapid stirring, and the feasibility of the magnetic particles the magnetic field desorption regeneration and reuse of the analysis. The results showed that: 1 Best conditional processing, chemical mechanical polishing (CMP) wastewater magnetic particle dose 5.61 g / L, pH 5, in addition to its residual turbidity can be reduced to 4 NTU (turbidity original 170 NTU), turbidity. the degree of removal of up to 98%. 2 with the optimum conditions (magnetic particle 5.61g / L, pH 5) for 5 consecutive times CMP wastewater treatment. Its magnetic particles without any regeneration treatment sludge, which then directly use. The results showed that only the turbidity of the 1st processing up to 95%, with the increase in the number of re-use, and its handle higher residual turbidity. Use frequency and inversely related to turbidity removal. 3 in optimum conditions (magnetic particles 5.61 g / L, pH 5) and continuously stirred CMP wastewater treatment time 5 min, under conditions of agitation speed 200 rpm and holding time 10 min - desorption, adjusted to pH 7 , the magnetic particles may be reused 2 times; adjusted to pH 9, to four times.
28

Wen, Chan-Ju y 溫禪儒. "Analysis on Effective Lifetime Index of Polishing Pad for CMP Process of Monocrystalline Silicon Wafers and Sapphire". Thesis, 2014. http://ndltd.ncl.edu.tw/handle/32497829840515040968.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
碩士
國立臺灣科技大學
機械工程系
102
Since ancient times, polishing has been a process critical to bronze mirrors, jade and jewelry grinding until modern wafer planarization in semiconductor industry’s. However, mechanical polishing has certain limitation, the chemical mechanical planarization (CMP) is a key technology available to achieve global flatness and local sub-nanometer surface roughness. Polishing pad and slurry are major accessories in CMP process. Currently, the quality of wafer or process time is cred to assess the replacement time of polishing pad. In this study, a confocal laser measuring machine of bearing area ratio (BAR) is used to measure the major work layer of polishing pad and an pad effective lifetime can be obtained by observing the influence of depth of pad groove in wafer planarization process by long time polishing of sapphire and silicon wafers. When the groove depth of pad is down to about 220 μm, the removal rate is less than 50% for both wafers. In this study, the initial groove depth and the remaining groove depth ratio on polishing pad is defined as an index of pad effective lifetime index (PELI). Thus the pad needs to be replaced when the PELI value is down to 0.5. The PELI can be used as a reference index for users to assess the timing of replacing polishing pad.
29

Chen, Pei-Hua y 陳沛樺. "A Study of Conditioning and Polishing to the Advanced Diamond Disk Dressed Graphite Impregnated Pad for CMP Process". Thesis, 2009. http://ndltd.ncl.edu.tw/handle/23967204806998946653.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
30

Yan, Li-Wei y 顏力偉. "A study of Hydrophilic CMP Graphite Impregnated Pad and it’s Characteristics of Conditioning and Polishing Wafer for Oxide Film". Thesis, 2010. http://ndltd.ncl.edu.tw/handle/h2stgn.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.

Pasar a la bibliografía