Siga este enlace para ver otros tipos de publicaciones sobre el tema: Plasma etch residue.

Artículos de revistas sobre el tema "Plasma etch residue"

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte los 50 mejores artículos de revistas para su investigación sobre el tema "Plasma etch residue".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Explore artículos de revistas sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.

1

Lee, Hong-Ji, Che-Lun Hung, Chia-Hao Leng, Nan-Tzu Lian, Ling-Wu Young, Tahone Yang, Kuang-Chao Chen y Chih-Yuan Lu. "Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching". International Journal of Plasma Science and Engineering 2008 (23 de septiembre de 2008): 1–5. http://dx.doi.org/10.1155/2008/154035.

Texto completo
Resumen
This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275∘C. An in situ O2-based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micromasking formed on the opening of TiN during the hard-mask patterning. We report that an additional TiN surface pretreatment with the Ar/CHF3/N2 plasmas could reduce the impact of the micromasking residues on blocked metal etch.
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Cazes, M., Christian Pizzetti, Jerome Daviot, Philippe Garnier, Lucile Broussous, Laurence Gabette y Pascal Besson. "Customized Chemical Compositions Adaptable for Cleaning Virtually all Post-Etch Residues". Solid State Phenomena 282 (agosto de 2018): 121–25. http://dx.doi.org/10.4028/www.scientific.net/ssp.282.121.

Texto completo
Resumen
A post-etch residue cleaning formulation, based on balancing the aggressiveness of hydrofluoric acid with its well-known residue removal properties is introduced. In a series of investigations originally motivated by the cleaning challenge provided by high-k dielectric-based residues, a formulation platform is developed that successfully cleans residues resulting from the plasma patterning of tantalum oxide and similar materials while maintaining metal and dielectric compatibility. It is further shown that the fundamental advantages of this solution can be extended to the cleaning of other, more traditional post-etch residues, with no sacrifice in compatibility, as demonstrated by measurements on blanket films and through SEM data.
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Thanu, D. P. R., S. Raghavan y M. Keswani. "Post Plasma Etch Residue Removal in Dilute HF Solutions". Journal of The Electrochemical Society 158, n.º 8 (2011): H814. http://dx.doi.org/10.1149/1.3597618.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Ferstl, Berthold, Andreas Klipp, Manfred Essig y Maria Heidenblut. "Impact of Dry Etch and Ash Conditions on Removability of Plasma Etch Residues in Al-Metallization. Approach to Improve PER Cleaning Efficiency by EHS-Friendly Aqueous Remover". Solid State Phenomena 145-146 (enero de 2009): 353–56. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.353.

Texto completo
Resumen
Driven by cost saving pressure and new regulations such as REACH, the imple¬mentation of cost efficient, EHS-friendly alternatives is in full swing. There is a clear trend in the plasma etch residue (PER) cleaning field to replace the currently used, expensive and harmful organic PER-removers by EHS-friendly aqueous alternatives. Striking advantages of fluorine free aqueous removal are reported1. The goals of present work -which was carried out in cooperation of BASF and Infineon-, was to examine the dependence of residue constitution on etch and strip conditions and to find a way to adapt also most critical cleaning challenges for EHS-friendly PER remover usage. For that reason a selected aluminum interconnect metal layer with difficult removable residues was chosen. XPS is well proved as an appropriate method for selective sidewall and top/bottom residue analysis2. Our analytical work was focused on defining fingerprints for the etch residues, concerning their elemental distribution, and tracking their changes during the different process steps and conditions.
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Le, Quoc Toan, Els Kesters, I. Hoflijk, T. Conard, M. Shen, S. Braun, Y. Burk y Frank Holsteyns. "Characterization of Etch Residues Generated on Damascene Structures". Solid State Phenomena 255 (septiembre de 2016): 227–31. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.227.

Texto completo
Resumen
For patterned TiN/silicon oxide/low-k dielectric stack, fluorinated etch residues were detected on the TiN surface, the dielectric sidewall and bottom, regardless of the low-k material used in the stack. XPS results showed that they consisted of polymer-based (CFx) residues deposited on trench sidewall and bottom, and metal-based (TiFx) residues mainly deposited on top surface. In terms of post-etch residue removal, the efficiency of various wet clean solutions can be clearly distinguished for CFx, and TiFx using the same patterned porous low-k stack. These results also demonstrate that the removal of both TiFx and CFx residues generated during the plasma is possible in one step with optimized chemical and process.
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Ahner, Nicole, Sven Zimmermann, Matthias Schaller y Stefan E. Schulz. "Determination of Surface Energy Characteristics of Plasma Processed Ultra Low-K Dielectrics for Optimized Wetting in Wet Chemical Plasma Etch Residue Removal". Solid State Phenomena 195 (diciembre de 2012): 110–13. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.110.

Texto completo
Resumen
The integration of porous ultra low dielectric constant materials (ULK) for isolation within the interconnect system of integrated circuits is a promising approach to reduce RC-delays and crosstalk due to shrinking feature sizes [1]. Actually the focus is on porous CVD-SiCOH materials, which consist of a Si-O-Si backbone and organic species (e.g. CH3) to lower polarizability and prevent moisture uptake to remarkably decrease the k-value [2]. The integration of porous low-k materials is very challenging, especially looking at patterning, resist stripping and etch residue removal, where commonly plasma processing has been applied. But plasma processing of ULK materials, especially using oxygen plasmas, is known to degrade electrical, optical and structural material properties by removing carbon from the film and densification of the surface near areas of the ULK [5]. Carbon depletion may also lead to the incorporation of-OH groups, which easily form silanols and therefore increase moisture absorption and k-values [2]. Besides the development of nondamaging plasma processes, wet cleaning is a promising alternative to avoid ULK damage while removing organic plasma etch residues. Additionally wet cleaning steps are always necessary to remove inorganic residues, which do not form volatile reaction products and can therefore not be removed by plasma processing.
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Kleemeier, W., V. Leon y S. Graham. "Plasma Etch Residue and Photoresist Removal Utilizing Environmentally Benign Process Chemicals". Solid State Phenomena 65-66 (noviembre de 1998): 143–52. http://dx.doi.org/10.4028/www.scientific.net/ssp.65-66.143.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Myneni, Satyanarayana y Dennis W. Hess. "Post-Plasma-Etch Residue Removal Using CO[sub 2]-Based Fluids". Journal of The Electrochemical Society 150, n.º 12 (2003): G744. http://dx.doi.org/10.1149/1.1621879.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Le, Quoc Toan, F. Drieskens, T. Conard, M. Lux, J. F. de Marneffe, H. Struyf y G. Vereecke. "Modification of Post-Etch Residues by UV for Wet Removal". Solid State Phenomena 187 (abril de 2012): 207–10. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.207.

Texto completo
Resumen
In back-end of line processing, the polymer deposited on the dielectric sidewalls during the etch must be removed prior to subsequent processing steps to achieve high adhesion and good coverage of materials deposited in the etched features [1,. Typically, this is done by a combination of short plasma treatment and diluted wet clean, or by wet cleans alone. On the one hand, for porous dielectric stacks, a mild plasma treatment that preserves the integrity of the low-k dielectrics would not be sufficient to efficiently remove this residue. Furthermore, aqueous cleaning solutions is not efficient to achieve a complete removal without etching the underlying layer. Hence appropriate wet clean chemistries are needed to dissolve/decompose these polymers without etching the dielectric. On the other hand, analytical techniques available for direct characterization of sidewall polymer are limited. For a fast screening of potential chemistries capable of dissolving/removing polymer residues generated during the low-k etch, a fluoropolymer deposited on a blanket, checkerboard low-k substrate was used as a model polymer. In our recent study [, using X-ray photoelectron spectroscopy (XPS), it was shown that the polymer was composed of CF, CF2, and CF3 groups. This model polymer was found to be very similar to the polymer residue generated during the etch of the low-k stack using similar plasma. The present study mainly focused on the effect of UV treatment and the concentration of active component in wet clean solution on the structure change of the polymer and the enhancement of polymer removal.
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Jung, Chung Kyung, Sung Wook Joo, Sang Wook Ryu, S. Naghshineeh, Yang Lee y Jae Won Han. "Improved Cleaning Process for Etch Residue Removal in an Advanced Copper/Low-k Device without the Use of DMAC (Dimethylacetamide)". Solid State Phenomena 187 (abril de 2012): 245–48. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.245.

Texto completo
Resumen
Plasma dry etching processes are commonly used to fabricate sidewalls of trenches and vias for copper / low-k dual damascene devices. Typically, some polymers remain in the trench and at the via top and sidewall. Other particulate etch residues are may remained in the bottom and on the sidewalls of vias. Generally, the particulate consists of mixtures of copper oxide with polymers. The polymers on the sidewalls and the particulate residues at the bottom of vias must be removed prior to the next process step. Small amounts of polymer are intentionally left on the sidewalls of trenches and vias during the etching in order to achieve a vertical profile and to protect the low-k materials under the etching mask. Until now, the industry has relied mainly on organic solvent containing mixtures to clean etch / ash residues from such devices. The effectiveness of available residue removers varies with the specific process and also depends on which new integration materials are used. New materials typically include Cu, TaN, low-k dielectrics and others [1-. Solvent content is thought to aid the removal of polymer residues and particulates produced during plasma dry etching processes. Therefore, in the past we have used a residue remover which contains DMAC (dimethylacetamide). But the use of DMAC is banned in microelectronic fabrication facilities in Europe because of its toxicity. Thus we wanted to find and evaluate a DMAC-free residue remover for removing polymer residues while maintaining high selectivity to the copper and ILD films.
Los estilos APA, Harvard, Vancouver, ISO, etc.
11

Kesters, Els, Q. T. Le, D. Yu, M. Shen, S. Braun, A. Klipp y F. Holsteyns. "Post Etch Residue Removal and Material Compatibility in BEOL Using Formulated Chemistries". Solid State Phenomena 219 (septiembre de 2014): 201–4. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.201.

Texto completo
Resumen
A possible way to realize a 22.5 nm 1⁄2 pitch and beyond BEOL interconnect structures within the low-kmaterial is the partial-trench via first with self-aligned double patterning (SADP) integration approach. A scheme of this BEOL integration stack with the different materials used after patterning is described in Figure 1. In BEOL processing, fluorocarbon-containing plasma is commonly used to pattern silica-based dielectric layers. During the patterning of the low-kdielectric layer, a thin layer of fluoropolymer (CFx-type residues) is intentionally deposited on the dielectric sidewalls and TiN hardmask to ensure anisotropic etching and prevent/minimize dielectric degradation. This polymer layer must be removed from the sidewall and the via bottom prior to the subsequent processing steps to achieve good adhesion and coverage of materials deposited in the etched features. The compatibility requirement is even more stringent for advanced low-kdielectrics, i.e. materials with lowerk-value and higher porosity. The post etch residue (PER) amount and properties are specific and depend on the stack structure and the plasma that is used for patterning. The low-kmaterials and hardmasks that are used in this work are respectively an organo-silicate glass (OSG) type of low-kmaterial withk= 2.4 (~20 % open porosity) and low-stress TiN. Recent results clearly showed the presence of a highly fluorinated layer deposited on the trench sidewalls during the plasma etch based on a fluorocarbon plasma [1-3]. Commodity aqueous cleaning solutions, such as diluted HF, do not efficiently remove the sidewall polymers without etching the underlying layer (lift-off). Therefore, there is a need for commercially available chemicals that can be easily tuned to deal with the different requirements. This study focuses on the use of FOTOPUR® R 2300 mixed with H2O2 for polymer residue removal selectively to other materials (presented in the stack) such as MHM, metals (Cu, W), and porous low-k dielectrics. We will show that TiN etch can be easily tuned by changing the concentration of H2O2.
Los estilos APA, Harvard, Vancouver, ISO, etc.
12

Ahner, Nicole, Matthias Schaller, Christin Bartsch, Eugene Baryschpolec y Stefan E. Schulz. "Surface Energy and Wetting Behaviour of Plasma Etched Porous SiCOH Surfaces and Plasma Etch Residue Cleaning Solutions". Solid State Phenomena 145-146 (enero de 2009): 319–22. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.319.

Texto completo
Resumen
The removal of plasma etch residues by wet cleaning is an alternative or additional process to plasma processes, which are known to degrade low-k and ultralow-k dielectric materials. Besides Cu/low-k compatibility wetting is an important issue for wet cleaning. Surface energy of solid and liquid is the key to understand the wetting behaviour. In this study we examined the energetic character of plasma etched/stripped solid surfaces, etch polymers and several cleaning solutions by contact angle measurements. The results show, that variations of the etching process can heavily change the energetic character of the solid. Calculating the surface energies of solid and liquid provides the possibility to make a prediction if a cleaning liquid will wet the surface which has to be cleaned.
Los estilos APA, Harvard, Vancouver, ISO, etc.
13

Payne, Makonnen, Steven Lippy, Ruben R. Lieten, Els Kesters, Quoc Toan Le, Gayle Murdoch, Victor V. Gonzalez y Frank Holsteyns. "Evaluation of Post Etch Residue Cleaning Solutions for the Removal of TiN Hardmask after Dry Etch of Low-k Dielectric Materials on 45 nm Pitch Interconnects". Solid State Phenomena 255 (septiembre de 2016): 232–36. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.232.

Texto completo
Resumen
In the BEOL, as interconnect dimensions shrink and novel materials are used, it has become increasingly difficult for traditional PERR removal chemicals to meet the evolving material compatibility requirements. As a result, formulated cleans that specifically target these unique challenges are required. Two formulated BEOL cleans were evaluated on blanket and patterned wafer coupons for their ability to wet etch titanium nitride (TiN) and clean post-plasma etch residue, while remaining compatible to interconnect metals (Cu and W) and low-k dielectric (k = 2.4). Both, showed an improvement in material compatibility relative to dilute HF, while simultaneously being able to remove the TiN hardmask and post-etch residue, leading > 90% yield on test structures of varying sizes.
Los estilos APA, Harvard, Vancouver, ISO, etc.
14

Ahner, Nicole, Sven Zimmermann, Nicole Köhler, Stephan Krüger y Stefan E. Schulz. "Wetting Behavior of Plasma Etch Residue Removal Solutions on Plasma Damaged and Repaired Porous ULK Dielectrics". Solid State Phenomena 219 (septiembre de 2014): 193–96. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.193.

Texto completo
Resumen
Porous ultra low constant materials (ULK) for isolation within the interconnect system of integrated circuits are a promising approach to reduce crosstalk and RC-delays due to shrinking feature sizes [1]. Due to their porosity and the integration of carbon rich species like methyl groups into the Si-O-Si backbone of currently fabricated PECVD SiCOH dielectrics those materials are highly sensible towards plasma processing, e.g. dry etching or resist stripping [2]. Metal hard mask approaches, e.g. using TiN hard masks are widely used to prevent the resist stripping plasma directly attacking the low-k material [3]. To reduce further plasma damage like carbon depletion and formation of polar silanol groups the development of less aggressive etching processes is in the focus of research and development activities. Nevertheless dry etching will attack the sidewalls and cause a material degradation. That is why repair processes, mainly based on silylation, are considered to follow the patterning step to reintegrate carbon rich species and to recover the dielectric’s properties [3]. Subsequently to dry etching and repairing the dielectric the wet chemical plasma etch residue removal process is performed. Besides material compatibility and effectiveness in residue removal the wetting behavior of the applied cleaning solutions towards the surface which has to be cleaned is crucial, especially looking on wetting issues like the incomplete wetting of very small via holes or pattern collapse. In this study we investigate in which way different silylation based repair processing regimes are affecting the wettability of the dielectric by water based cleaning solutions using contact angle based surface energy calculations.
Los estilos APA, Harvard, Vancouver, ISO, etc.
15

Myneni, Satyanarayana y Dennis W. Hess. "Post Plasma Etch Residue Removal Using CO[sub 2]-Based Mixtures: Mechanistic Considerations". Journal of The Electrochemical Society 152, n.º 10 (2005): G757. http://dx.doi.org/10.1149/1.2007147.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
16

Iwasaki, Akihisa, Kristell Courouble, Steven Lippy, Fabrice Buisine, Hidekazu Ishikawa, Emanuel Cooper, Evelyn Kennedy, Stephane Zoll y Lucile Broussous. "Industrial Challenges of TiN Hard Mask Wet Removal Process for 14nm Technology Node". Solid State Phenomena 219 (septiembre de 2014): 213–16. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.213.

Texto completo
Resumen
TiN Hard Mask (TiN-HM) integration scheme has been widely used for BEOL patterning in order to avoid ultra low-k (ULK) damage during plasma-ash process [1]. As the technology node advances, new integration schemes have to be used for the patterning of features below 80 nm pitch with 193 nm immersion lithography. In particular, thicker TiN-HM is necessary in order to ensure Self-Aligned-Via (SAV) integration which resolves via-metal short yield and TDDB issues caused by Litho-Etch-Litho-Etch (LELE) misalignment [2, 3]. The Cu filling process is significantly more difficult if the thick TiN is not removed because of the high aspect ratio of the structures. Moreover, with the use of TiN hard mask, a time-dependent crystal growth (TiCOF) residue may forms between line etch and metal deposition [4, 5], also hindering copper filling. Post-Etch-Treatment after line etching is one solution to the problem but N2plasma is not efficient enough to suppress the residue completely [6], and the CH4treatment proposed in [5] may be difficult to implement for 14 nm node, thus an efficient wet strip and clean provides a better solution.
Los estilos APA, Harvard, Vancouver, ISO, etc.
17

Ahner, Nicole, Sven Zimmermann, Matthias Schaller y Stefan E. Schulz. "Optimized Wetting Behavior of Water-Based Cleaning Solutions for Plasma Etch Residue Removal by Application of Surfactants". Solid State Phenomena 187 (abril de 2012): 201–5. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.201.

Texto completo
Resumen
Wet chemical plasma etch residue removal is a promising alternative to low-k dielectric degrading plasma cleaning processes. With decreasing feature dimensions the wetting behavior of the liquid on low energetic surfaces present after dielectric patterning will be an important issue in developing wet cleaning solutions. High surface energy liquids may not only be unable to wet low energetic surfaces, but can also cause nonwetting of small structures or pattern collapse. The improvement of the wetting behavior of a cleaning liquid by lowering its surface energy by the addition of surfactants is the strategy followed in this study. We show that with choosing the appropriate rinsing solution a wet chemical process using surfactant aided cleaning solutions compatible to the materials used in BEOL (porous low-k, copper, barriers) can be found. The results show a distinct improvement of the wetting behavior of the modified solutions on several low energetic solid surfaces like copper or polymers deposited during dry etching.
Los estilos APA, Harvard, Vancouver, ISO, etc.
18

Kesters, Els, Q. T. Le, I. Simms, K. Nafus, H. Struyf y S. De Gendt. "Wet Removal of Post-Etch Residues by a Combination of UV Irradiation and a SC1 Process". Solid State Phenomena 195 (diciembre de 2012): 114–18. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.114.

Texto completo
Resumen
In back-end of line processing (BEOL), the polymer deposited on the dielectric sidewalls during the etch process must be removed prior to subsequent processing steps to achieve high adhesion and good coverage of materials deposited in the etched features [1, . Typically, this is done by a combination of a short plasma treatment and a diluted wet clean, or by wet cleans alone. On the one hand, for porous dielectric stacks, a mild plasma treatment that preserves the integrity of the low-k dielectrics would not be sufficient to effectively remove this residue. With regard to wet clean, diluted aqueous solutions (e.g. HF-based) are not efficient for polymer removal without etching the underlying dielectric to lift off the polymer, leading to unacceptable critical dimension (CD) loss. In addition, analytical techniques available for direct characterization of sidewall residues are limited. For a fast screening of potential chemistries capable of dissolving/removing polymer residues generated during the low-k etch, a model fluoropolymer was deposited on a blanket, checkerboard low-k substrate. The present study mainly focused on the characterization of model polymer after deposition (as-deposited) and after immersion in aqueous and solvent-based cleaning solutions. The polymer removal efficiency was influenced/ improved by UV treatments prior to wet clean processes. In the second part of the study, selected UV treatment conditions and cleaning solutions were applied to low-k patterned structures using Angle-resolved X-ray photoelectron spectroscopy (AR-XPS) to characterize the dielectric sidewall before and after UV modification and the subsequent cleaning process.
Los estilos APA, Harvard, Vancouver, ISO, etc.
19

Iwasaki, Akihisa, Ayumi Higuchi, Kana Komori, Masanobu Sato, Els Kesters, Quoc Toan Le y Frank Holsteyns. "Rapid Recovery Process of Plasma Damaged Porous Low-k Dielectrics by Wet Surface Modifying Treatment". Solid State Phenomena 255 (septiembre de 2016): 223–26. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.223.

Texto completo
Resumen
A rapid repair process of plasma damaged SiCOH in combination with post-etch residue removal has been developed. The carbon depletion layer caused by plasma dry etching was repaired by subsequent surface modifying SAM treatment, which resulted in replenishment of carbon not only on the surface but also a few nm toward the bulk. This repairing technique provides a high-quality hydrophobic surface under conditions of low temperature and short process time. In addition, the SAM layer can be expected to act as an adhesion promotor with metal materials.
Los estilos APA, Harvard, Vancouver, ISO, etc.
20

Levitin, Galit, Satyanarayana Myneni y Dennis W. Hess. "Post Plasma Etch Residue Removal Using CO[sub 2]-TMAHCO[sub 3] Mixtures: Comparison of Single-Phase and Two-Phase Mixtures". Journal of The Electrochemical Society 151, n.º 6 (2004): G380. http://dx.doi.org/10.1149/1.1723503.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
21

Min, K. S., B. J. Park, S. W. Kim, S. K. Kang, G. Y. Yeom, S. H. Heo, H. S. Hwang y C. Y. Kang. "Selective Etching of HfO2 by Using Inductively-Coupled Ar/C4F8 Plasmas and the Removal of Etch Residue on Si by Using an O2 Plasma Treatment". Journal of the Korean Physical Society 53, n.º 3 (12 de septiembre de 2008): 1675–79. http://dx.doi.org/10.3938/jkps.53.1675.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
22

Ahner, Nicole, Stefan E. Schulz y Manuela Zacher. "Surfactants as an Additive to Wet Cleaning Solutions for Plasma Etch Residue Removal: Compatibility to a Porous CVD-SiCOH Ultra Low-k Dielectric Material". ECS Transactions 25, n.º 5 (17 de diciembre de 2019): 87–94. http://dx.doi.org/10.1149/1.3202639.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
23

Chen, Ching Chia, Yu-Po Wang, Jensen Tsai y Hsin Long Chen. "Advanced Die Saw Technology for WLCSP Reliability Enhancement". International Symposium on Microelectronics 2019, n.º 1 (1 de octubre de 2019): 000323–26. http://dx.doi.org/10.4071/2380-4505-2019.1.000323.

Texto completo
Resumen
Abstract As consumer and portable devices get thinner and more functionality. Chips which are made by less than 28 nm node wafer with extreme Low-k (ELK) inter metal dielectric material is a trend in order to contain more transistors and to lower power consumption. However, side wall crack (SWC) for WLCSP is one of the major challenges since ELK layer getting brittle. Laser grooving is applied to remove metal before blade saw, but the high temperature during laser grooving usually easily generates HAZ (heat-affected zone) which can induce stress concentration and lower chip strength. The laser ablation also leaves metal-silicon residue (or recast) from the re-deposition of silicon to the groove and surrounding areas. Therefore, SWC (sidewall crack) is a huge potential risk waiting to happen after pick and place, during shipment and during SMT process. In the industry, HAZ size and SWC rate could be reduced by adjusting process parameters, or by exploring new alternatives to eliminate HAZ and silicon recast is one of driving factors of this paper. In this study, plasma etching was applied as surface treatment on the scribe line after laser grooving process with ELK wafer. Plasma could etch HAZ and recast area and expected to increase chip strength and reduce SWC rate. Plasma applied with various process time and power, and different types of mask coating materials were studied. Different plasma gases and effectiveness will be discussed. Conventional blade dicing process will be compared to different plasma etching conditions for mechanical properties of die using 3-point bending test to check die strength, and SEM and OM to verify quality of sidewall of the die. Finally drop test was performed to confirm the reliability enhancement.
Los estilos APA, Harvard, Vancouver, ISO, etc.
24

Gupta, Atul, Eric Snyder, Christiane Gottschalke, Kevin Wenzel, James Gunn, Hao Lu, Yuya Suzuki, Venky Sundaram y Rao Tummala. "First Demonstration of Fine Line RDL Yield Enhancement using an Innovative Ozone Treatment Process for Panel Fan-out and Interposers". Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2017, DPC (1 de enero de 2017): 1–19. http://dx.doi.org/10.4071/2017dpc-tp1_presentation2.

Texto completo
Resumen
As front end transistor scaling by Moore's law faces economic and technical challenges, interconnect scaling by advanced packaging technologies has started to add value at system level for a variety of electronics applications including consumer, high performance computing and automotive. The focus on yield improvement at every node that has enabled transistor scaling is now becoming a very critical need for high volume manufacturing of advanced packaging technologies such as 2.5D interposers and high density fanout [1]. This paper describes the first demonstration of a novel atmospheric approach based on ozone as an alternative to vacuum-based plasma treatment for photoresist cleaning to enhance the re-distribution layer (RDL) yields in advanced semi-additive process (SAP) processes. The ozone process is applicable to wafers as well as large panels, and is suited for small feature sizes down to 1um that are required for interposers and future fan-out packages. Ozone process provides an environmentally friendly solution eliminating the need for hazardous chemicals used in wet cleaning processes and has the potential to significantly increase throughput and reduce process cost compared to plasma processing by eliminating the need for vacuum chambers. The goal of this research is to demonstrate the effectiveness and benefits of the atmospheric dry ozone process developed using an MKS Instruments ozone delivery system for electrolytic copper plating yield improvement and dry film resist (DFR) residue cleaning, for 1-10um RDL critical dimensions (CD). The paper will describe the process fundamentals and the manufacturing tools, and discuss the characterization by contact angle measurements to confirm wettability of the plating surfaces, as well as demonstrate improvement of fine line RDL plating quality. The ever-increasing requirement for higher computing power in both high power applications and low power hand held or wearable devices is driving the need for higher signal bandwidth connections between logic and memory chips enabled through advances in the packaging world. The wiring density and I/O pitch are scaled down to achieve high bandwidth interconnections on a package with limited routing space. Photolithography, electrolytic copper plating, and copper seed layer etch are three crucial defining the yield and minimum feature size of RDL in the SAP flow. With the feature size scaling down to 3 μm, the quality of the metallized copper structure is crucial for high performance applications. Traditionally, wet chemical cleans are used for improving copper plating yields. The wet chemical process uses hazardous chemicals such as trichloroethylene (TCE) that are not environmentally friendly. These processes may be substituted with an oxygen plasma treatment that can clean organic residues in DFR trenches and improve wettability of the seed layers prior to plating as well as DFR residues after copper plating and DFR stripping. However, such plasma treatment approach requires a vacuum chamber which limits its throughput and cost effectiveness for high volume manufacturing. This paper proposes a higher throughput alternative solution to the plasma treatment process for electrolytic copper plating. Since the ozone gas is generated from oxygen, and reduced to oxygen upon process completion, no hazardous gas is required, or discharged into the atmosphere. To demonstrate the applicability of the ozone treatment to wafer-scale and panel-scale processing, two different types of copper seed layers, physical vapor deposition (PVD) Ti-Cu, and electroless plated copper, were evaluated. The effectiveness of both ozone and oxygen plasma treatments were qualified against a control sample with no treatment. The 7 μm thick DFR was laminated on the copper seed layers, then patterned with a projection lithography tool, and a minimum feature size of 3 μm was resolved. After photolithography, the substrates were subjected to ozone or plasma treatments. The water contact angle measurements show significant wettability improvement on the surfaces of substrates with copper seed layer, DFR, and DFR mesh patterned on a copper seed layer. Copper plating quality was then compared between samples. Both the ozone and plasma treatments resulted in excellent copper metallization quality due to the creation of a hydrophilic surface. The effectiveness of the ozone treatment at 50 deg C was confirmed, thus minimizing any impact on DFR stripping. The ozone treatment was also applied to clean the DFR residues after resist stripping and our results confirmed that the ozone process removed any remaining photoresist residues from the copper surface. In conclusion, this paper proposed and demonstrated high throughput, atmospheric pressure ozone treatment as an innovative alternative to plasma treatment for cleaning the surfaces prior to electrolytic copper plating, as well as for photoresist residue removal after resist strip. The results show yield improvement of plated RDL and DFR residue cleaning. The ozone process does not use any hazardous chemicals or gases and also does not require any vacuum steps, which makes it environmental friendly and high throughput, and offers a promising approach for fine line RDL for interposers and fan-out packages in meeting the semiconductor industry roadmap needs.
Los estilos APA, Harvard, Vancouver, ISO, etc.
25

Heidenblut, Maria, D. Sturm, Alfred Lechner y Franz Faupel. "Characterization of Post Etch Residues Depending on Resist Removal Processes after Aluminum Etch". Solid State Phenomena 145-146 (enero de 2009): 349–52. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.349.

Texto completo
Resumen
The subject of this report is the characterization of plasma etch residues after a metal etch process with Cl2/BCl3 etch gases. One of the interactive factors in the removability of the residues is the photo-mask removal process (DSQ). Depending on the DSQ process the molecular structure of the residues will differ. For our findings, we used laser spectroscopy and Fourier-transformed infrared spectroscopy to obtain information about the degree of the cross-linking of the molecular structure of residues in a post-metal etch cleaning process. The post-etch cleaning is important for removing residues remaining after the metal structuring process. The main goal is to use emission spectroscopy for studying the compounds of the dry-etch related residues. Finally, it was shown that small variations in wafer treatment directly after dry-etching results in different solubilities of residues in HDA (hydroxylamine) based solutions. [1]
Los estilos APA, Harvard, Vancouver, ISO, etc.
26

Gemmill, William R., Els Kesters y Quoc Toan Le. "One-Step Wet Clean Removal of Post-Etch Fluoropolymer Residues". Solid State Phenomena 195 (diciembre de 2012): 136–38. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.136.

Texto completo
Resumen
Back end of the line processing requires removal of deposited polymers resulting from etch processes. These polymers typically exist on the whole of the pattern including the dielectric sidewalls and can be removed by wet cleans or a combination of wet cleans and plasma treatments. When a porous dielectric is present these residues cannot be efficiently removed using plasma or certain wet cleans without potentially damaging the underlying porous dielectric layer. Therefore there exists a need for a one-step wet clean that can completely remove the residues without damaging the porous dielectric. Previous work has shown that a combination of a UV pretreatment followed by a wet clean can remove these residues [1]. These residues are composed of CF, -CF2, and CF3 groups as described by X-ray photoelectron spectroscopy (XPS). In an effort to improve the manufacturing viability of such a process we have undertaken a study to develop a one-step wet clean for fluoropolymer removal. Utilizing a blanket checkerboard pattern with a model fluoropolymer deposited on a porous low-κ substrate we have demonstrated the one-step wet clean of the aforementioned fluoropolymer while maintaining compatibility with the pristine and etch processed porous low-k dielectric.
Los estilos APA, Harvard, Vancouver, ISO, etc.
27

Kim, Woo-Jae, In-Young Bang, Ji-Hwan Kim, Yeon-Soo Park, Hee-Tae Kwon, Gi-Won Shin, Min-Ho Kang et al. "Silicon Oxide Etching Process of NF3 and F3NO Plasmas with a Residual Gas Analyzer". Materials 14, n.º 11 (2 de junio de 2021): 3026. http://dx.doi.org/10.3390/ma14113026.

Texto completo
Resumen
The use of NF3 is significantly increasing every year. However, NF3 is a greenhouse gas with a very high global warming potential. Therefore, the development of a material to replace NF3 is required. F3NO is considered a potential replacement to NF3. In this study, the characteristics and cleaning performance of the F3NO plasma to replace the greenhouse gas NF3 were examined. Etching of SiO2 thin films was performed, the DC offset of the plasma of both gases (i.e., NF3 and F3NO) was analyzed, and a residual gas analysis was performed. Based on the analysis results, the characteristics of the F3NO plasma were studied, and the SiO2 etch rates of the NF3 and F3NO plasmas were compared. The results show that the etch rates of the two gases have a difference of 95% on average, and therefore, the cleaning performance of the F3NO plasma was demonstrated, and the potential benefit of replacing NF3 with F3NO was confirmed.
Los estilos APA, Harvard, Vancouver, ISO, etc.
28

Timmons, Christopher L. y Dennis W. Hess. "Electrochemical Cleaning of Post-Plasma Etch Fluorocarbon Residues Using Reductive Radical Anion Chemistry". Electrochemical and Solid-State Letters 7, n.º 12 (2004): G302. http://dx.doi.org/10.1149/1.1813211.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
29

Yen, T. F., O. Liang, C. W. Lu y K. F. Chiu. "Abnormal metal oxide formation induced by residual charging in plasma etch process". Microelectronic Engineering 84, n.º 1 (enero de 2007): 1–6. http://dx.doi.org/10.1016/j.mee.2006.07.002.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
30

Jiang, Li Li, Shi Xing Jia y J. Zhu. "The Oxygen Plasma Dry Release Process of the Membrane Bridge of RF MEMS Switches". Key Engineering Materials 562-565 (julio de 2013): 1238–41. http://dx.doi.org/10.4028/www.scientific.net/kem.562-565.1238.

Texto completo
Resumen
In this paper the oxygen plasma dry release process for membrane-bridge RF MEMS switches is studied and several methods are used to improve the dry release process. The residual PR (Photoresist) on the device substrate after different process time are observed and measured in this paper. The measured data shows that the residual PR exponentially reduces with etch time. It is found that the residual PR on the bottom surface of the membrane bridge is more than that on the substrate. The completely released RF MEMS switch using oxygen plasma dry etch process is obtained.
Los estilos APA, Harvard, Vancouver, ISO, etc.
31

Nieziołek, Magdalena, Marcin Kot, Krzysztof Pyka, Paweł Mak y Andrzej Kozik. "Properties of chemically oxidized kininogens." Acta Biochimica Polonica 50, n.º 3 (30 de septiembre de 2003): 753–63. http://dx.doi.org/10.18388/abp.2003_3666.

Texto completo
Resumen
Kininogens are multifunctional proteins involved in a variety of regulatory processes including the kinin-formation cascade, blood coagulation, fibrynolysis, inhibition of cysteine proteinases etc. A working hypothesis of this work was that the properties of kininogens may be altered by oxidation of their methionine residues by reactive oxygen species that are released at the inflammatory foci during phagocytosis of pathogen particles by recruited neutrophil cells. Two methionine-specific oxidizing reagents, N-chlorosuccinimide (NCS) and chloramine-T (CT), were used to oxidize the high molecular mass (HK) and low molecular mass (LK) forms of human kininogen. A nearly complete conversion of methionine residues to methionine sulfoxide residues in the modified proteins was determined by amino acid analysis. Production of kinins from oxidized kininogens by plasma and tissue kallikreins was significantly lower (by at least 70%) than that from native kininogens. This quenching effect on kinin release could primarily be assigned to the modification of the critical Met-361 residue adjacent to the internal kinin sequence in kininogen. However, virtually no kinin could be formed by human plasma kallikrein from NCS-modified HK. This observation suggests involvement of other structural effects detrimental for kinin production. Indeed, NCS-oxidized HK was unable to bind (pre)kallikrein, probably due to the modification of methionine and/or tryptophan residues at the region on the kininogen molecule responsible for the (pro)enzyme binding. Tests on papain inhibition by native and oxidized kininogens indicated that the inhibitory activity of kininogens against cysteine proteinases is essentially insensitive to oxidation.
Los estilos APA, Harvard, Vancouver, ISO, etc.
32

Cole, M. W. y G. F. McLane. "Dry-Etch-induced damage in GaAs investigated via TEM". Proceedings, annual meeting, Electron Microscopy Society of America 51 (1 de agosto de 1993): 1114–15. http://dx.doi.org/10.1017/s0424820100151404.

Texto completo
Resumen
Plasma-assisted etching is an important III-V semiconductor fabrication technique for patterning device structures on the nanometer scale with high accuracy. The quality of the processed surface is of primary importance for most electronic applications. It is well documented that reactive ion etching (RIE), with its high self-biases, usually incurs material damage via ion bombardment which ultimately limits device performance. Magnetron ion etching (MIE) is an attractive alternative to RIE. Specifically, MIE has a lower sheath voltage than RIE and the discharge contains low to moderate energy ions, both of which allow wafer processing with less ion-induced damage. This study investigated the nature and extent of near surface disorder resultant from MIE with freon-12 (CCl2F2) via cross-sectional transmission electron microscopy (TEM). The effect of residual damage on device performance was evaluated via Schottky diode measurements. The GaAs wafers were etched under the following conditions:
Los estilos APA, Harvard, Vancouver, ISO, etc.
33

Arnold, Thomas, Georg Boehm y Faezeh Kazemi. "Advances in precision freeform manufacturing by plasma jet machining -INVITED". EPJ Web of Conferences 238 (2020): 03001. http://dx.doi.org/10.1051/epjconf/202023803001.

Texto completo
Resumen
Atmospheric pressure plasma jet machining technology provides a flexible and efficient way to fabricate precise freeform optics. Due to the pure chemical material removal mechanism based on a dry etching process using fluorine containing gas, the choice of materials that can be treated is limited. Fused silica, Si, SiC or ULE® are easy to machine since the etching products formed are solely volatile. Recently, plasma jet machining has been also adopted to treat optical glasses like N-BK7® which contain amongst others alkali metals that form a solid residual layer during etching. In the paper a new approach to apply deterministic plasma jet etching on optical glass coping with complex etch characteristics caused by the residual layer is introduced.
Los estilos APA, Harvard, Vancouver, ISO, etc.
34

Song, In Gu, Christopher Timmons, Galit Levitin y Dennis W. Hess. "Photoresist Removal Using Alternative Chemistries and Pressures". Solid State Phenomena 145-146 (enero de 2009): 303–10. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.303.

Texto completo
Resumen
Approximately 20% of the processing steps in integrated circuit (IC) fabrication involve surface cleaning and removal of photoresist and plasma etch residues. Continuous device minimization requires the use of thin films (<20 nm), closely spaced features, and ultra shallow junctions (<50nm); as a result, the challenges associated with effective surface cleaning are intensified. In addition, to insure high device performance, incorporation of alternate materials such as copper, ruthenium, and molybdenum, porous low dielectric constant SiO2-based insulators, and hafnium or zirconium oxides or silicates into device structures is taking place. Integration of these materials into working devices requires precise control of surface properties. In order to eliminate damage to films or substrates, avoid modification of surfaces, promote contaminant removal rates and enhance process control, approaches such as use of downstream plasmas, liquid cleaning with low concentrations of reactive chemicals, mechanical agitation, and liquid or particle jets have been implemented [1].
Los estilos APA, Harvard, Vancouver, ISO, etc.
35

Sun, Fusheng, Xiaoxue Xie, Yufan Zhang, Jiangwei Duan, Mingyu Ma, Yaqiong Wang, Ding Qiu, Xinpei Lu, Guangxiao Yang y Guangyuan He. "Effects of Cold Jet Atmospheric Pressure Plasma on the Structural Characteristics and Immunoreactivity of Celiac-Toxic Peptides and Wheat Storage Proteins". International Journal of Molecular Sciences 21, n.º 3 (4 de febrero de 2020): 1012. http://dx.doi.org/10.3390/ijms21031012.

Texto completo
Resumen
The present research reported the effects of structural properties and immunoreactivity of celiac-toxic peptides and wheat storage proteins modified by cold jet atmospheric pressure (CJAP) plasma. It could generate numerous high-energy excited atoms, photons, electrons, and reactive oxygen and nitrogen species, including O3, H2O2, •OH, NO2− and NO3− etc., to modify two model peptides and wheat storage proteins. The Orbitrap HR-LC-MS/MS was utilized to identify and quantify CJAP plasma-modified model peptide products. Backbone cleavage of QQPFP and PQPQLPY at specific proline and glutamine residues, accompanied by hydroxylation at the aromatic ring of phenylalanine and tyrosine residues, contributed to the reduction and modification of celiac-toxic peptides. Apart from fragmentation, oxidation, and agglomeration states were evaluated, including carbonyl formation and the decline of γ-gliadin. The immunoreactivity of gliadin extract declined over time, demonstrating a significant decrease by 51.95% after 60 min of CJAP plasma treatment in vitro. The CJAP plasma could initiate depolymerization of gluten polymer, thereby reducing the amounts of large-sized polymers. In conclusion, CJAP plasma could be employed as a potential technique in the modification and reduction of celiac-toxic peptides and wheat storage proteins.
Los estilos APA, Harvard, Vancouver, ISO, etc.
36

Mauer, Laura, John Taddei y Ramey Youssef. "Silicon Wafer Thinning to Reveal Cu TSV". Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2012, DPC (1 de enero de 2012): 001673–700. http://dx.doi.org/10.4071/2012dpc-wp11.

Texto completo
Resumen
3D Integration is becoming a reality in device manufacturing. The TSV Middle process is becoming the dominant integration scenario. For this process flow the silicon wafer needs to be thinned to reveal the Cu TSV. Grinding is used to remove the bulk of the silicon wafer. Currently a multistep sequence of processes that includes CMP and plasma have been used to complete the final thinning of the silicon. This paper will describe a simple, cost effective method to wet etch the remaining silicon to reveal the Cu TSVs. KOH is selected as the etchant since it will not attack the TSV materials and has a higher etch rate than TMAH. The development of processes with optimum etch rates and uniformity for silicon etching along with no attack of the Cu via or oxide liner and effective post cleaning to remove residual Potassium will be presented.
Los estilos APA, Harvard, Vancouver, ISO, etc.
37

Wang, Shu Ya, Yun Ying Fu, Li Ping Dai y Guo Jun Zhang. "The Etching Reaction and Surface Reconstruction of Bismuth Zinc Niobate Thin Film in SF6/Ar Plasma". Advanced Materials Research 763 (septiembre de 2013): 28–32. http://dx.doi.org/10.4028/www.scientific.net/amr.763.28.

Texto completo
Resumen
Reactive ion etching (RIE) of bismuth zinc niobate (BZN) thin films using an SF6/Ar plasma has been studied. An optimum process parameters was obtained according to the highest etch rate of 90nm/min. Under this etching condition, the crystal structural properties and surface morphology of the BZN film before and after etching were characterized using X-ray diffraction (XRD), the film showed a surface reconstruction after etching, a cubic pyrochlore structure orientation transition was observed from preferential (222) to (400), and ZnF2 phases were detected. The film surface was chemically analyzed by X-ray photoelectron spectroscopy (XPS) to investigate the reactive ion etching mechanism. A zinc-rich surface was formed because low-volatile ZnF2 residues were difficult to remove.
Los estilos APA, Harvard, Vancouver, ISO, etc.
38

Lang, Charles H., Robert A. Frost, Nobuko Deshpande, Vinayshree Kumar, Thomas C. Vary, Leonard S. Jefferson y Scot R. Kimball. "Alcohol impairs leucine-mediated phosphorylation of 4E-BP1, S6K1, eIF4G, and mTOR in skeletal muscle". American Journal of Physiology-Endocrinology and Metabolism 285, n.º 6 (diciembre de 2003): E1205—E1215. http://dx.doi.org/10.1152/ajpendo.00177.2003.

Texto completo
Resumen
Acute alcohol (EtOH) intoxication impairs skeletal muscle protein synthesis. Although this impairment is not associated with a decrease in the total plasma amino acid concentration, EtOH may blunt the anabolic response to amino acids. To examine this hypothesis, rats were administered EtOH or saline (Sal) and 2.5 h thereafter were orally administered either leucine (Leu) or Sal. The gastrocnemius was removed 20 min later to assess protein synthesis and signaling components important in translational control of protein synthesis. Oral Leu increased muscle protein synthesis by the same magnitude in Sal- and EtOH-treated rats. However, the increase in the latter group was insufficient to overcome the suppressive effect of EtOH, and the rate of synthesis remained lower than that observed in rats from the Sal-Sal group. Leu markedly increased phosphorylation of Thr residues 36, 47, and 70 on 4E-binding protein (BP)1 in muscle from rats not receiving EtOH, and this response was associated with a redistribution of eukaryotic initiation factor (eIF) 4E from the inactive eIF4E · 4E-BP1 to the active eIF4E · eIF4G complex. In EtOH-treated rats, the Leu-induced phosphorylation of 4E-BP1 and changes in eIF4E availability were partially abrogated. EtOH also prevented the Leu-induced increase in phosphorylation of eIF4G, the serine/threonine protein kinase S6K1, and the ribosomal protein S6. Moreover, EtOH attenuated the Leu-induced phosphorylation of the mammalian target of rapamycin (mTOR). The ability of EtOH to blunt the anabolic effects of Leu could not be attributed to differences in the plasma concentrations of insulin, insulin-like growth factor I, or Leu. Finally, although EtOH increased the plasma corticosterone concentration, inhibition of glucocorticoid action by RU-486 was unable to prevent EtOH-induced defects in the ability of Leu to stimulate 4E-BP1, S6K1, and mTOR phosphorylation. Hence, ethanol produces a leucine resistance in skeletal muscle, as evidenced by the impaired phosphorylation of 4E-BP1, eIF4G, S6K1, and mTOR, that is independent of elevations in endogenous glucocorticoids.
Los estilos APA, Harvard, Vancouver, ISO, etc.
39

Kim, Y. B., Mikhail R. Baklanov, Thierry Conard, Serge Vanhaelemeersch y W. Vandervorst. "The Optimization of the Cleaning to Remove Residual Bonds of Si-C and Si-F after Fluorocarbon Plasma Etch on the Silicon Surface". Solid State Phenomena 65-66 (noviembre de 1998): 291–0. http://dx.doi.org/10.4028/www.scientific.net/ssp.65-66.291.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
40

Essiptchouk, Alexei M. y Gilberto Petraconi Filho. "Plasma Chemical Reactor for Hydrogen Production". Advances in Science and Technology 93 (octubre de 2014): 96–101. http://dx.doi.org/10.4028/www.scientific.net/ast.93.96.

Texto completo
Resumen
The process of carbon dioxide reforming of hydrocarbon feedstock (like natural gas, coal, petroleum coke, residual oil, glycerine, etc) for hydrogen production has attracted great attention from both environmental and industrial perspectives. The plasma chemical reactor for study the CO2 reforming of hydrocarbon gaseous feedstock is presented. The reactor consists of a DC plasma torch coupled to a compact quenching chamber. The linear plasma torch operates with a reverse vortex flow and hollow blind-end cathode for enhancing the thermal efficiency and enthalpy of the plasma jet. The quenching chamber consists of a set of refrigerated discs equipped with flow turbulent flow generator. Estimated quenching rates are up to 107-108 K/s. Electrical and thermal characteristics of the plasma-chemical reactor torch as well as the energy efficiency of the process are presented.
Los estilos APA, Harvard, Vancouver, ISO, etc.
41

Sun, Xiaohang, Zijun Sun, Yanbin Xin, Bing Sun y Xiaomin Lu. "Plasma-catalyzed liquefaction of wood-based biomass". BioResources 15, n.º 3 (22 de junio de 2020): 6095–109. http://dx.doi.org/10.15376/biores.15.3.6095-6109.

Texto completo
Resumen
Biomass resources in nature produce a large amount of waste resources (agricultural residues, wood waste, etc.) during agricultural and forestry production processes. Therefore, the effective utilization of these solid biomass waste resources has attracted widespread interest. In this paper, a pulsed discharge plasma technology was used to perform catalytic liquefaction experiments on solid biomass sawdust at room temperature and atmospheric pressure, and the reaction parameters such as the solid:liquid ratio, liquefaction solvent ratio, and catalyst ratio were optimized. The results showed that the plasma technology achieved a higher liquefaction yield; the optimized reaction parameters were: a solid:liquid ratio of 1:23.4, a liquefaction solvent polyethylene glycol (PEG) / glycerol (GL) ratio of 25:15 (V:V), and an acid volume fraction of 0.188%. In addition, the characteristics of the products of the liquefaction reaction were analyzed and discussed. The liquid products were mainly composed of small molecules. The experiment established that the liquefaction of solid sawdust by high-voltage pulsed discharge plasma can be an effective technical method.
Los estilos APA, Harvard, Vancouver, ISO, etc.
42

Messerle, V. E., S. A. Bolegenova, M. K. Bodykbayeva, A. A. Kuykabayeva, N. Slavinskaya y A. K. Tastanbekov. "Verification of three-dimensional mathematical modeling when calculating the combustion of hydrocarbon fuel in an experimental cylindrical furnace enriched with a plasma fuel system". Kompleksnoe Ispolʹzovanie Mineralʹnogo syrʹâ/Complex Use of Mineral Resources/Mineraldik Shikisattardy Keshendi Paidalanu 4, n.º 315 (15 de diciembre de 2020): 96–105. http://dx.doi.org/10.31643/2020/6445.41.

Texto completo
Resumen
In this work, the operation of the boiler in traditional and plasma-activated conditions is investigated. To test the possibility of modeling the Cinar ICE program with an understanding of the physical mechanism of the processes of electrothermochemical fuel preparation (ETCF) and combustion, a study of coal combustion in an experimental furnace with a thermal power of 3 MW equipped with a plasma fuel system was carried out. To study the combustion process of an air mixture that had undergone preliminary plasma preparation for combustion, one-dimensional plasma-coal and three-dimensional computer programs Cinar ICE were used, which study in detail the mechanism of the kinetics of thermochemical exchange in a two-phase flow, where the plasma fuel source is located, and the exact geometry of the furnace, and the kinetics of the process сombustion of coal particles. As a result of calculations, the distribution of temperature, velocity of gas and particles in the process of ETCPT, the concentration of gas-phase mixtures, the concentration of carbon and the degree of gas contamination in the remainder of alloyed coal were determined. It was found that the plasma activation of combustion affects the thermal characteristics of the Torch, the mechanical non-combustible fuel residue and the concentration of nitrogen oxide at the outlet from the furnace. It has been proven that when simulating coal combustion, it is possible to achieve an effective description of the process using the Cinar ICE program.
Los estilos APA, Harvard, Vancouver, ISO, etc.
43

Kovarova, Lucie, Ivana Buresova, Ludek Pour, Jaroslav Michalek y Roman Hajek. "Flow Cytometric Detection of Minimal Residual Disease in Multiple Myeloma Patients". Blood 112, n.º 11 (16 de noviembre de 2008): 5141. http://dx.doi.org/10.1182/blood.v112.11.5141.5141.

Texto completo
Resumen
Abstract Background: Multiple myeloma (MM) is a hematological malignancy characterized by expansion of neoplastic plasma cells (PCs). Despite of advances in treatment, achieved in past ten years, many patients relapse due to minimal residual disease (MRD) existence. Aim: Monitoring of number and phenotype of plasma cells in transplanted MM patients by multiparametric flow cytometry. Patients and Methods: Bone marrow of 24 MM patients (13 male, 11 female) after autologous stem cells transplantation was used. Analyses were done in 1st month and 1st year after transplantation. Relapsed patients were analyzed earlier. Plasma cells were identified as CD138+CD38+ cells, CD19 and CD56 markers were used for discrimination of normal polyclonal (N-PCs) and abnormal monoclonal (A-PCs) plasma cells, respectively. Results: Median number of PCs in whole group of patients in 1st month after transplantation was 0.1% (range 0.0–2.5%), median number of CD19+ N-PC 39.6% (5.0–79.2%), CD56+ A-PC 31.7% (3.5–90.9%) and N-PC/A-PC ratio was 1.1 (0.1–22.6). There were identified 8 relapsed patients during 1st year from transplantation, all with detectable monoclonal immunoglobulin, and with median number of PCs 10.6% (range 0.1–76.6%), N-PC 0.2% (0.0–56.2%), A-PC 60.1% (0.8–99.9%) and N-PC/A-PC ratio 0.0 (0.0–3.0). In a group of non-relapsed patients in 1st year after transplantation was median number of PCs 0.2% (range 0.0–1.9%), N-PC 64.2% (6.3–90.0%), A-PC 21.7% (3.0–93.2%) and N-PC/A-PC ratio 3.4 (0.1–25.0). There is an evidence that relapse is relate to loss of CD19 expression on PCs and to higher number of CD56+ PC. Conclusion: Flow cytometric analysis of PCs phenotype profile is relatively quick and sufficiently sensitive method that can be used for monitoring of MRD. Detailed analysis of higher number of patients and comparison of their data with other parameters (immunofixation etc.) are necessary for verification of this method and for its application in routine diagnostics.
Los estilos APA, Harvard, Vancouver, ISO, etc.
44

Singh, Kanwal Jit. "Unique Size-Dependent Challenges for BEOL Cleans in the Patterning of Sub-20 nm Features". Solid State Phenomena 195 (diciembre de 2012): 103–6. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.103.

Texto completo
Resumen
BEOL Cleans has been and continues to be one of the most mysterious black boxes of semiconductor manufacturing. It has the unenviable task of removing post-plasma processing polymer residues, being compatible with ultra low-k dielectric materials that continue to scale k-value at the expense of material strength, and ensuring that any formulation that accomplishes the above objectives is also compatible with Cu and all other metals on the wafer used for liners or caps. In order to meet the performance requirements of next generation devices, Moore's law mandates continued scaling of dimensions with the additional challenges of size-dependent complexities for BEOL cleans development. Patterning of sub-20 nm features on thin ILD stacks suffers from the problems of etch-induced line undulation [1, 2] and cleans-induced pattern collapse [3]. High aspect ratio's, non-uniform drying, surface tension and low material strength have all been implicated as the root cause for pattern collapse during cleans [4]. Classical equations used to describe pattern collapse for resist lines that rely on 2D beam theory and finite element modeling [5] are not as applicable to patterned low-k dielectrics because material changes such as sidewall polymer residues, lowering of Young's modulus and changing pattern densities present different solid surfaces with widely varying wettability and diffusivity parameters [6, .
Los estilos APA, Harvard, Vancouver, ISO, etc.
45

Bibu, Marius. "Ionic Nitriding of Certain Parts Protected on Nonfunctional Surfaces With Special Paints. Electric Energy Consumed". MATEC Web of Conferences 343 (2021): 01011. http://dx.doi.org/10.1051/matecconf/202134301011.

Texto completo
Resumen
The experimental researches on the promotion of new technologies for the local protection of metallic parts against plasma nitriding, led to two types of special paints for protection in ionic nitriding, paints elaborated on the basis of copper lamellar powder in combination with magnesium oxide and carbon tetrachloride. In the created context, it was considered that the elaborated paints could be used not only for preventing the hardening during ionic nitriding of certain technological surfaces of the parts on which they are applied, but also for coating certain nonfunctional surfaces, their degasification taking such a long time. These nonfunctional areas could be: surfaces resulted from casting, fragments with macroirregularities, surfaces that contain slag, residues, soot, other oxides, impurities, etc. and are the cause for a very large number of transitions of the glow discharges in electric arcs. The use of special protecting paints for the plasma nitriding of the parts that present nonfunctional surfaces leads to a major reduction in the energy consumption. This paper presents the ways of determining the consumed electric energy on the basis of absorbed power in the case of ionic nitriding of certain parts protected on nonfunctional surfaces with special paints.
Los estilos APA, Harvard, Vancouver, ISO, etc.
46

Morales, Miguel, C. Molpeceres, J. A. Porro, A. García-Beltrán y J. L. Ocaña. "Numerical Thermo-Mechanical Modelling of Stress Fields and Residual Constraints in Metallic Targets Subject to Laser Shock Processing". Materials Science Forum 638-642 (enero de 2010): 2682–87. http://dx.doi.org/10.4028/www.scientific.net/msf.638-642.2682.

Texto completo
Resumen
In the analysis of the thermomechanical behaviour of the target material subject to Laser Shock Processing (LSP), most of the simplified models used for the analysis of its residual shocked state rely on rather simple estimations or material response equations that rarely take into account a detailed description of the material subject to a simultaneous dynamic compression and either deformation-induced or plasma-driven thermal heating. The calculational system developed by the authors (SHOCKLAS) includes a coupled analysis of the pressure wave applied to the target material as a result of the plasma buildup following laser interaction and the shock wave propagation into the solid material with specific consideration of the material response to thermal and mechanical alterations induced by the propagating wave itself (i.e. effects as elastic-plastic deformation, changes in elastic constants, etc.). The model is applicable to the typical behaviour shown by the different materials through their dynamic strain-stress relations. In the present paper, the key features and several typical results of the developed SHOCKLAS calculational system are presented. In particular, the application of the model to the realistic simulation (full 3D dependence, non linear material behaviour, thermal and mechanical effects, treatment over extended surfaces) of LSP treatments in the experimental conditions of the irradiation facility used by the authors is presented
Los estilos APA, Harvard, Vancouver, ISO, etc.
47

Gao, Jing, Wen Yi Wang, Li Jun Cui, Li Ting Chen, Xiao Yan Hu, Hu Li y Hong Zhang Geng. "Effect of Different Concentrations of Nitric Acid on the Conductivity of Single-Walled Carbon Nanotube Transparent Films". Advanced Materials Research 658 (enero de 2013): 3–7. http://dx.doi.org/10.4028/www.scientific.net/amr.658.3.

Texto completo
Resumen
Single-walled carbon nanotubes were dispersed in deionized water with sodium dodecyl benzene sulfonate as surfactant. The solutions were sprayed on UV and plasma treated polyethylene terephthalate to achieve transparent conductive films with excellent adhesion. The carbon nanotube films were further treated with different concentrations of nitric acid to improve conductivity. SWCNTs and films were characterized by thermo gravimetric analysis, field-emitting scanning electron microscopy, UV-VIS spectrophotometer, four-point probe method, and Raman spectroscopy. The results demonstrated that the conductivity of carbon nanotube films with high transparency was improved to a greater degree with higher concentration of nitric acid due to effectively removing residual surfactants. The low sheet resistance films of ~100 Ω/sq @ 80T% have widely applications in touch screen, flat panel displays, organic light emitting diode, and etc.
Los estilos APA, Harvard, Vancouver, ISO, etc.
48

Şuvar, Sonia Niculina, Maria Prodan, Andrei Szollosi-Moţa, Irina Nălboc y Aurelian Nicola. "Determination of the chemical composition of the residual powder in order to identify the source of release". MATEC Web of Conferences 342 (2021): 03004. http://dx.doi.org/10.1051/matecconf/202134203004.

Texto completo
Resumen
Particles in suspension come mainly from pollutant emissions generated by industry, traffic, home heating, etc. Due to these particles, various diseases can occur, such as lung cancer, asthma, cardiovascular diseases. An important problem is represented by the particles with an aerodynamic diameter of less than 10 micrometers, which pass through the nose and throat and enter the alveoli of the lungs causing inflammation and intoxication. This paper aimed to establish the chemical composition of residual powders in a production hall from the automotive industry, to identify the source of release. For this, the infrared spectrometry (FTIR) method, the inductively coupled plasma optical emission spectrometry (ICP-OES) method, and the x-ray spectrometry (XRF) method were used. Two samples of dust from different locations of the production hall were analyzed, as well as a substance used in the process, to determine whether the dust came from its use. A series of safety data sheets for substances used in the technological process was also analyzed. The results obtained from the qualitative and quantitative determinations were evaluated considering the chemical composition of all substances involved, leading to the identification of the residual dust release source.
Los estilos APA, Harvard, Vancouver, ISO, etc.
49

Parihar, Rityuj Singh, Srinivasu Gangi Setti y Raj Kumar Sahu. "Preliminary investigation on development of functionally graded cemented tungsten carbide with solid lubricant via ball milling and spark plasma sintering". Journal of Composite Materials 52, n.º 10 (9 de agosto de 2017): 1363–77. http://dx.doi.org/10.1177/0021998317724217.

Texto completo
Resumen
Functionally graded cemented tungsten carbide belongs to a hybrid Functionally Graded Materials family. However synthesis of functionally graded cemented tungsten carbide is a great challenge due to possibility of “Co migration” and CaF2 vaporization during liquid phase sintering. Hence the present work deals with the development of nanocrystalline materials using ball milling and consolidation of milled material by Spark Plasma Sintering (SPS) for the preparation of functionally graded cemented tungsten carbide. The effects of ball milling and contents of Co, CaF2 and WC on WC/Co/CaF2 powder mixture were analyzed. Grain morphology, particle size, micro-strain, crystallite size, etc. of milled samples were investigated using scanning electron microscopy and X-Ray diffraction techniques. In addition to that, density, microstructure, hardness, fracture toughness and residual stress of consolidated sample are also reported. These tests confirm the formation of nanocrystalline particles and sub-sequent consolidation of functionally graded cemented tungsten carbide with solid lubricant. Hence ball milling with SPS is a prominent and viable process combination for the preparation of functionally graded cemented tungsten carbide.
Los estilos APA, Harvard, Vancouver, ISO, etc.
50

Deshmukh, D. D. y V. D. Kalyankar. "Deposition Characteristics of Multitrack Overlayby Plasma Transferred Arc Welding on SS316Lwith Co-Cr Based Alloy – Influence ofProcess Parameters". High Temperature Materials and Processes 38, n.º 2019 (25 de febrero de 2019): 248–63. http://dx.doi.org/10.1515/htmp-2018-0046.

Texto completo
Resumen
AbstractPlasma transferred arc welding (PTAW) is one of the outstanding overlay coating technique used in numerous industries including pressure vessel, automotive, chemical, nuclear, etc. owing to its superior characteristics, low dilution and high efficiency in the coating. In the present investigations, an effort is made to investigate the multitrack overlay deposition by PTAW on 16 mm thick 316 L plate by CO-Cr alloy. Samples are produced under different processing conditions, as per full factorial central composite design of experiment with preheating of 200°C by maintaining the interpass temperature 250 °C. Effects of transferred arc current, welding travel speed, powder feed rate, welding oscillation speed and stand-off distance on weld bead shape parameters comprising of width of deposition and reinforcement are presented and discussed based on experimental observations and fitted model. Relationship between the input process parameters with deposition characteristics is also presented in the form of regression equation. Lower current (100–120 A), intermediate travel speed (120–140 mm/min), intermediate powder feed rate (12–14 gms/min), lower oscillating speed (450–550 mm/min) and lower stand-off distance (6–8 mm) would give better deposition characteristics with minimum distortion, less residual stresses, no surface defects with strong metallurgical bond.
Los estilos APA, Harvard, Vancouver, ISO, etc.
Ofrecemos descuentos en todos los planes premium para autores cuyas obras están incluidas en selecciones literarias temáticas. ¡Contáctenos para obtener un código promocional único!

Pasar a la bibliografía