Auswahl der wissenschaftlichen Literatur zum Thema „Superscalar out of order“

Geben Sie eine Quelle nach APA, MLA, Chicago, Harvard und anderen Zitierweisen an

Wählen Sie eine Art der Quelle aus:

Machen Sie sich mit den Listen der aktuellen Artikel, Bücher, Dissertationen, Berichten und anderer wissenschaftlichen Quellen zum Thema "Superscalar out of order" bekannt.

Neben jedem Werk im Literaturverzeichnis ist die Option "Zur Bibliographie hinzufügen" verfügbar. Nutzen Sie sie, wird Ihre bibliographische Angabe des gewählten Werkes nach der nötigen Zitierweise (APA, MLA, Harvard, Chicago, Vancouver usw.) automatisch gestaltet.

Sie können auch den vollen Text der wissenschaftlichen Publikation im PDF-Format herunterladen und eine Online-Annotation der Arbeit lesen, wenn die relevanten Parameter in den Metadaten verfügbar sind.

Zeitschriftenartikel zum Thema "Superscalar out of order"

1

Capalija, Davor, and Tarek S. Abdelrahman. "Microarchitecture of a Coarse-Grain Out-of-Order Superscalar Processor." IEEE Transactions on Parallel and Distributed Systems 24, no. 2 (2013): 392–405. http://dx.doi.org/10.1109/tpds.2012.135.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Eyerman, Stijn, Lieven Eeckhout, Tejas Karkhanis, and James E. Smith. "A mechanistic performance model for superscalar out-of-order processors." ACM Transactions on Computer Systems 27, no. 2 (2009): 1–37. http://dx.doi.org/10.1145/1534909.1534910.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

Lee, Jong-Bok. "A Performance Study of Multi-core Out-of-Order Superscalar Processor Architecture." Transactions of The Korean Institute of Electrical Engineers 61, no. 10 (2012): 1502–7. http://dx.doi.org/10.5370/kiee.2012.61.10.1502.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

Wong, Henry, Vaughn Betz, and Jonathan Rose. "High-Performance Instruction Scheduling Circuits for Superscalar Out-of-Order Soft Processors." ACM Transactions on Reconfigurable Technology and Systems 11, no. 1 (2018): 1–22. http://dx.doi.org/10.1145/3093741.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Jourdan, Stéphan, Pascal Sainrat, and Daniel Litaize. "Exploring configurations of functional units in an out-of-order superscalar processor." ACM SIGARCH Computer Architecture News 23, no. 2 (1995): 117–25. http://dx.doi.org/10.1145/225830.224366.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Cardoso, D. M., R. Tonetto, M. Brandalero, G. Nazar, A. C. Beck, and J. R. Azambuja. "Exploring the limitations of dataflow SIHFT techniques in out-of-order superscalar processors." Microelectronics Reliability 100-101 (September 2019): 113406. http://dx.doi.org/10.1016/j.microrel.2019.113406.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Dwyer, Harry, and H. C. Torng. "An out-of-order superscalar processor with speculative execution and fast, precise interrupts." ACM SIGMICRO Newsletter 23, no. 1-2 (1992): 272–81. http://dx.doi.org/10.1145/144965.145834.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Lee, Jong Bok. "Performance Analysis of Multicore Out-of-Order Superscalar Processor with Multiple Basic Block Execution." Journal of Korea Multimedia Society 16, no. 2 (2013): 198–205. http://dx.doi.org/10.9717/kmms.2013.16.2.198.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Zhang, Ying, Krishnendu Chakrabarty, Zebo Peng, et al. "Software-Based Self-Testing Using Bounded Model Checking for Out-of-Order Superscalar Processors." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, no. 3 (2020): 714–27. http://dx.doi.org/10.1109/tcad.2018.2890695.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Govindarajan, R., Hongbo Yang, J. N. Amaral, Chihong Zhang, and G. R. Gao. "Minimum register instruction sequencing to reduce register spills in out-of-order issue superscalar architectures." IEEE Transactions on Computers 52, no. 1 (2003): 4–20. http://dx.doi.org/10.1109/tc.2003.1159750.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
Mehr Quellen

Dissertationen zum Thema "Superscalar out of order"

1

Choudhary, Niket Kumar. "A Synthesizable HDL Model for Out-of-Order Superscalar Processors." NCSU, 2009. http://www.lib.ncsu.edu/theses/available/etd-07082009-161145/.

Der volle Inhalt der Quelle
Annotation:
Many contemporary servers, personal and laptop computers, and even cell phones are powered by high-performance superscalar processors. In the past, conventional microarchitecture and technology scaling has afforded leaps in their performance and functionality. Today, conventional microarchitecture and technology scaling are both yielding lower returns with increasing costs. Therefore, any microarchitecture level decision to increase performance needs to be critically analyzed from a technology standpoint. To address this critical need, we have developed a register transfer level (RTL) model of
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Jones, Graham P. "The limits of a decoupled out-of-order superscalar architecture." Thesis, University of Edinburgh, 1999. http://hdl.handle.net/1842/15118.

Der volle Inhalt der Quelle
Annotation:
This thesis presents a study into a technique for improving performance in out-of-order superscalar architectures. It identifies three technological trends limiting superscalar performance; they are the increasing cost of a main memory access, control dependencies and the greater hardware complexity of out-of-order execution. Decoupling is a technique that can provide higher performance through the machine of dynamically executing, asynchronous instruction streams. It offers the capability to improve ILP, through effective latency hiding and dynamic scheduling, and to reduce hardware complexit
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

Ubal, Tena Rafael. "Out-of-Order Retirement of Instructions in Superscalar, Multithreaded, and Multicore Processors." Doctoral thesis, Universitat Politècnica de València, 2010. http://hdl.handle.net/10251/8535.

Der volle Inhalt der Quelle
Annotation:
Los procesadores superescalares actuales utilizan un reorder buffer (ROB) para contabilizar las instrucciones en vuelo. El ROB se implementa como una cola FIFO first in first out en la que las instrucciones se insertan en orden de programa después de ser decodificadas, y de la que se extraen también en orden de programa en la etapa commit. El uso de esta estructura proporciona un soporte simple para la especulación, las excepciones precisas y la reclamación de registros. Sin embargo, el hecho de retirar instrucciones en orden puede degradar las prestaciones si una operación de alta latencia es
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

Perais, Arthur. "Increasing the performance of superscalar processors through value prediction." Thesis, Rennes 1, 2015. http://www.theses.fr/2015REN1S070/document.

Der volle Inhalt der Quelle
Annotation:
Bien que les processeurs actuels possèdent plus de 10 cœurs, de nombreux programmes restent purement séquentiels. Cela peut être dû à l'algorithme que le programme met en œuvre, au programme étant vieux et ayant été écrit durant l'ère des uni-processeurs, ou simplement à des contraintes temporelles, car écrire du code parallèle est notoirement long et difficile. De plus, même pour les programmes parallèles, la performance de la partie séquentielle de ces programmes devient rapidement le facteur limitant l'augmentation de la performance apportée par l'augmentation du nombre de cœurs disponibles
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Davis, Kimberly D. "Out of Order." Thesis, University of North Texas, 2013. https://digital.library.unt.edu/ark:/67531/metadc271800/.

Der volle Inhalt der Quelle
Annotation:
Out of Order is a documentary film that explores the emotional and physical aspects of living with polycystic ovarian syndrome. This reproductive disorder affects between 5 and 10% of all women of reproductive age. This film features an animated, autobiographical look at director Kimberly Davis' personal experience with this condition.
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Ballard, Susan Patricia Art College of Fine Arts UNSW. "Out of order: explorations in digital materiality." Publisher:University of New South Wales. Art, 2008. http://handle.unsw.edu.au/1959.4/42596.

Der volle Inhalt der Quelle
Annotation:
Digital art installation is the result of informatic materials entering gallery spaces and challenging the establishment of media forms. This thesis contends that the open, recursive and recombinatory process of looking at digital installation is in fact the result of noisy relations between information and the spatial temporal contexts of the art gallery. In order to focus on the processes of informatic materials within gallery spaces, this thesis identifies four key modulations of noise and materiality ? emergence, feedback, entropy and delay. I demonstrate how these impact on a range of rec
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Palomar, Pérez Óscar. "Reusing cached schedules in an out-of-order processor with in-order issue logic." Doctoral thesis, Universitat Politècnica de Catalunya, 2011. http://hdl.handle.net/10803/80536.

Der volle Inhalt der Quelle
Annotation:
Modern processors use out-of-order processing logic to achieve high performance in Instructions Per Cycle (IPC) but this logic has a serious impact on the achievable frequency. In order to get better performance out of smaller transistors there is a trend to increase the number of cores per die instead of making the cores themselves bigger. Moreover, for throughput-oriented and server workloads, simpler in-order processors that allow more cores per die and higher design frequencies are becoming the preferred choice. Unfortunately, for other workloads this type of cores result in a lower sin
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Mladinovic, Mirjam. "'In order when most out of order' : crowds and crowd scenes in Shakespearean drama." Thesis, University of Liverpool, 2011. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.569436.

Der volle Inhalt der Quelle
Annotation:
This thesis investigates the representations of crowds and crowd scenes in Shakespearean drama. Contrary to the assumption that the crowd's character in early modern drama had a peripheral role, this thesis argues that Shakespeare's crowd is a complex "character" in its ,. own right, and that the playwright's use of it in his drama reveals its dramatic importance. / On the stage the crowd was not dangerous because its role was scripted. This study further proposes to view the character of the crowd from a perspective that has not been applied before in reading Shakespeare's drama. It employs M
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Quiñones, Moreno Eduardo. "Predicated execution and register windows for out-of-order processors." Doctoral thesis, Universitat Politècnica de Catalunya, 2008. http://hdl.handle.net/10803/6023.

Der volle Inhalt der Quelle
Annotation:
ISA extensions are a very powerful approach to implement new hardware techniques that require or benefit from compiler support: decisions made at compile time can be complemented at runtime, achieving a synergistic effect between the compiler and the processor. This thesis is focused on two ISA extensions: predicate execution and register windows. Predicate execution is exploited by the if-conversion compiler technique. If-conversion removes control dependences by transforming them to data dependences, which helps to exploit ILP beyond a single basic-block. Register windows help to reduce the
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Stanley, Christopher. "Out-with the law : urban (de)reguation and (dis)order." Thesis, University of Kent, 1994. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.387227.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
Mehr Quellen

Bücher zum Thema "Superscalar out of order"

1

Benoit, Charles. Out of order. Ulverscroft, 2007.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Out of order. Vintage Books, 1994.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

Patterson, Thomas E. Out of order. A. Knopf, 1993.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

MacDougal, Bonnie. Out of order. Ballantine Books, 1999.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Out of order. HarperCollins Pub., 2003.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Hicks, Betty. Out of order. Scholastic, 2006.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Jenkins, A. M. Out of order. HarperTempest, 2005.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Patterson, Thomas E. Out of order. A. Knopf, 1993.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Dunlop, Barbara. Out of Order. Harlequin, 2004.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Patterson, ThomasE. Out of order. Alfred A. Knopf, 1993.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
Mehr Quellen

Buchteile zum Thema "Superscalar out of order"

1

Kriebel, Florian, Faiq Khalid, Bharath Srinivas Prabakaran, Semeen Rehman, and Muhammad Shafique. "Fault-Tolerant Computing with Heterogeneous Hardening Modes." In Dependable Embedded Systems. Springer International Publishing, 2020. http://dx.doi.org/10.1007/978-3-030-52017-5_7.

Der volle Inhalt der Quelle
Annotation:
AbstractFault-tolerance using (full-scale) redundancy-based techniques has been employed to detect and correct reliability errors (i.e., soft errors), but they pose significant area and power overhead. On the other hand, due to the masking and the error tolerance properties at different system layers and of different applications, respectively, reliable heterogeneous architectures have been emerged as an attractive design choice for power-efficient dependable computing platforms. This chapter discusses the building blocks of such computing systems, based on both embedded and superscalar processors, with different reliability (fault-tolerant) modes at the architecture layer to memories like caches, for heterogeneous in-order and out-of-order processors. We provide a comprehensive reliability, i.e., soft error, vulnerability analysis of different components in in-order and out-of-order processors, e.g., caches. We also discuss different methodologies to improve the performance and power of such a system by analyzing these vulnerabilities. Moreover, we show how such heterogeneous hardware-level hardening modes can further be complemented by software-level techniques that can be realized using a reliability-driven compiler (as introduced in Chapter “Dependable Software Generation and Execution on Embedded Systems”).
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Gelber, Harry G. "The Asian Order." In Nations Out of Empires. Palgrave Macmillan UK, 2001. http://dx.doi.org/10.1057/9780230288645_2.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

Weik, Martin H. "out-of-order signal." In Computer Science and Communications Dictionary. Springer US, 2000. http://dx.doi.org/10.1007/1-4020-0613-6_13311.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

Venkataraman, Ganesan, Debendranath Sahoo, and Venkataraman Balakrishnan. "Order Out of Disorder." In Beyond the Crystalline State. Springer Berlin Heidelberg, 1989. http://dx.doi.org/10.1007/978-3-642-83434-9_3.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Wonnacott, David, Barbara Chapman, James LaGrone, et al. "Out-of-Order Execution Processors." In Encyclopedia of Parallel Computing. Springer US, 2011. http://dx.doi.org/10.1007/978-0-387-09766-4_2324.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Damm, W., and A. Pnueli. "Verifying Out-of-Order Executions." In Advances in Hardware Design and Verification. Springer US, 1997. http://dx.doi.org/10.1007/978-0-387-35190-2_3.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Abrahamsson, Hans. "Out of the Trap." In Understanding World Order and Structural Change. Palgrave Macmillan UK, 2003. http://dx.doi.org/10.1057/9781403944054_9.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Jones, P. M. "Marking out the new civil order." In The French Revolution 1787–1804. Routledge, 2016. http://dx.doi.org/10.4324/9781315537917-34.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Correa, Jaime. "Formal order out of informal chaos." In Routledge Companion to Global Heritage Conservation. Routledge, 2019. http://dx.doi.org/10.4324/9781315659060-7.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Chen, Weiwei. "Out-of-Order Parallel Discrete Event Simulation." In Out-of-order Parallel Discrete Event Simulation for Electronic System-level Design. Springer International Publishing, 2014. http://dx.doi.org/10.1007/978-3-319-08753-5_4.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen

Konferenzberichte zum Thema "Superscalar out of order"

1

Etsion, Yoav, Felipe Cabarcas, Alejandro Rico, et al. "Task Superscalar: An Out-of-Order Task Pipeline." In 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 2010. http://dx.doi.org/10.1109/micro.2010.13.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Hoe, James C. "Superscalar out-of-order demystified in four instructions." In the 2003 workshop. ACM Press, 2003. http://dx.doi.org/10.1145/1275521.1275529.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

Lee, Kiyeon, and Sangyeun Cho. "In-N-Out: Reproducing Out-of-Order Superscalar Processor Behavior from Reduced In-Order Traces." In Simulation of Computer and Telecommunication Systems (MASCOTS). IEEE, 2011. http://dx.doi.org/10.1109/mascots.2011.16.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

Zhang, Ying, Ahmed Rezine, Petru Eles, and Zebo Peng. "Automatic Test Program Generation for Out-of-Order Superscalar Processors." In 2012 21st Asian Test Symposium (ATS). IEEE, 2012. http://dx.doi.org/10.1109/ats.2012.43.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Zhou, Peng, Soner Önder, and Steve Carr. "Fast branch misprediction recovery in out-of-order superscalar processors." In the 19th annual international conference. ACM Press, 2005. http://dx.doi.org/10.1145/1088149.1088156.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Rosiere, M., J. I. Desbarbieux, N. Drach, and F. Wajsburt. "An out-of-order superscalar processor on FPGA: The ReOrder Buffer design." In 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE 2012). IEEE, 2012. http://dx.doi.org/10.1109/date.2012.6176719.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Jourdan, Stéphan, Pascal Sainrat, and Daniel Litaize. "Exploring configurations of functional units in an out-of-order superscalar processor." In the 22nd annual international symposium. ACM Press, 1995. http://dx.doi.org/10.1145/223982.224366.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Alizadeh, Bijan, and Masahiro Fujita. "Debugging and optimizing high performance superscalar out-of-order processors using formal verification techniques." In 2011 International Symposium on Quality Electronic Design (ISQED). IEEE, 2011. http://dx.doi.org/10.1109/isqed.2011.5770740.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Zhang, Yang, Zhi Qi, Xiaoxi Wu, and Wenjie Fu. "A novel evaluation method for superscalar out-of-order ARM microprocessors targeting android applications." In 2017 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM). IEEE, 2017. http://dx.doi.org/10.1109/pacrim.2017.8121881.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Soundararajan, Niranjan, Saurabh Gupta, Ragavendra Natarajan, et al. "Towards the adoption of Local Branch Predictors in Modern Out-of-Order Superscalar Processors." In MICRO '52: The 52nd Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 2019. http://dx.doi.org/10.1145/3352460.3358315.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen

Berichte der Organisationen zum Thema "Superscalar out of order"

1

Skakkebaek, Jens U., Robert B. Jones, and David L. Dill. Formal Verification of Out-of-Order Execution Using Incremental Flushing. Defense Technical Information Center, 1998. http://dx.doi.org/10.21236/ada400401.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Jones, Robert B., Jens U. Skakkebaek, and David L. Dill. Reducing Manual Abstraction in Formal Verification of Out-of-Order Execution. Defense Technical Information Center, 2002. http://dx.doi.org/10.21236/ada400402.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

Peterson, Alex G. Order Out of Chaos: Domestic Enforcement of the Law of Internal Armed Conflict. Defense Technical Information Center, 2001. http://dx.doi.org/10.21236/ada456597.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

Berezin, Sergey, Armin Biere, Edmund Clarke, and Yunshan Zhu. Combining Symbolic Model Checking with Uninterpreted Functions for Out-of-Order Processor Verification. Defense Technical Information Center, 1998. http://dx.doi.org/10.21236/ada346065.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Kreyenfeld, Michaela R., Rembrandt D. Scholz, Frederik Peters, and Ines Wlosnewski. The German Birth Order Register - order-specific data generated from perinatal statistics and statistics on out-of-hospital births 2001-2008. Max Planck Institute for Demographic Research, 2010. http://dx.doi.org/10.4054/mpidr-wp-2010-010.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Son, Junghwa, Ji Hye Kang, and Sungha Jang. Effects of Out-of-Stock, Return, and Cancellation Amount on Order Amount of Online Retailers. Iowa State University, Digital Repository, 2017. http://dx.doi.org/10.31274/itaa_proceedings-180814-1791.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Kreyenfeld, Michaela R., Frederik Peters, Rembrandt D. Scholz, and Ines Wlosnewski. Order-specific fertility estimates based on perinatal statistics and statistics on out-of-hospital births. Max Planck Institute for Demographic Research, 2011. http://dx.doi.org/10.4054/mpidr-tr-2011-002.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Sanz, Asier`. Numerical simulation tools for PVT collectors and systems. IEA SHC Task 60, 2020. http://dx.doi.org/10.18777/ieashc-task60-2020-0006.

Der volle Inhalt der Quelle
Annotation:
The computer-based experimentation covers almost the entire activity chain of the PVT sector. The PVT community carries out very different kind of modelling and simulation labours in order to answer to very diverse needs, such as proof-of-concepts, research, design, sizing, controlling, optimization, validation, marketing, sales, O&M, etc.
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Morris, Julia, Julia Bobiak, Fatima Asad, and Fozia Nur. Report: Accessibility of Health Data in Rural Canada. Spatial Determinants Lab at Carleton University, Department of Health Sciences, 2021. http://dx.doi.org/10.22215/sdhlab/2020.4.

Der volle Inhalt der Quelle
Annotation:
To inform the development of an interactive web-based rural health atlas, the Rural Atlas team within the Spatial Determinants Lab at Carleton University, Department of Health Sciences carried out two sets of informal interviews (User Needs Assessment and Tool Development). These interviews were conducted in order to obtain insight from key stakeholders that have been involved in rural health settings, rural health policy or advocacy, or the development of health mapping tools. Interviews took place via video-conferencing software with participants in the spring of 2020.The following report pr
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Berger, J. M. A Paler Shade of White: Identity & In-group Critique in James Mason’s Siege. RESOLVE Network, 2021. http://dx.doi.org/10.37805/remve2021.1.

Der volle Inhalt der Quelle
Annotation:
Discussions of extremist ideologies naturally focus on how in-groups criticize and attack out-groups. But many important extremist ideological texts are disproportionately focused criticizing their own in-group. This research report will use linkage-based analysis to examine Siege, a White nationalist tract that has played an important role shaping modern neo-Nazi movements, including such violent organizations as Atomwaffen Division and The Base. While Siege strongly attacks out-groups, including Jewish and Black people, the book is overwhelmingly a critique of how the White people of its in-
APA, Harvard, Vancouver, ISO und andere Zitierweisen
Wir bieten Rabatte auf alle Premium-Pläne für Autoren, deren Werke in thematische Literatursammlungen aufgenommen wurden. Kontaktieren Sie uns, um einen einzigartigen Promo-Code zu erhalten!