Um die anderen Arten von Veröffentlichungen zu diesem Thema anzuzeigen, folgen Sie diesem Link: Superscalar out of order.

Dissertationen zum Thema „Superscalar out of order“

Geben Sie eine Quelle nach APA, MLA, Chicago, Harvard und anderen Zitierweisen an

Wählen Sie eine Art der Quelle aus:

Machen Sie sich mit Top-50 Dissertationen für die Forschung zum Thema "Superscalar out of order" bekannt.

Neben jedem Werk im Literaturverzeichnis ist die Option "Zur Bibliographie hinzufügen" verfügbar. Nutzen Sie sie, wird Ihre bibliographische Angabe des gewählten Werkes nach der nötigen Zitierweise (APA, MLA, Harvard, Chicago, Vancouver usw.) automatisch gestaltet.

Sie können auch den vollen Text der wissenschaftlichen Publikation im PDF-Format herunterladen und eine Online-Annotation der Arbeit lesen, wenn die relevanten Parameter in den Metadaten verfügbar sind.

Sehen Sie die Dissertationen für verschiedene Spezialgebieten durch und erstellen Sie Ihre Bibliographie auf korrekte Weise.

1

Choudhary, Niket Kumar. "A Synthesizable HDL Model for Out-of-Order Superscalar Processors." NCSU, 2009. http://www.lib.ncsu.edu/theses/available/etd-07082009-161145/.

Der volle Inhalt der Quelle
Annotation:
Many contemporary servers, personal and laptop computers, and even cell phones are powered by high-performance superscalar processors. In the past, conventional microarchitecture and technology scaling has afforded leaps in their performance and functionality. Today, conventional microarchitecture and technology scaling are both yielding lower returns with increasing costs. Therefore, any microarchitecture level decision to increase performance needs to be critically analyzed from a technology standpoint. To address this critical need, we have developed a register transfer level (RTL) model of
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Jones, Graham P. "The limits of a decoupled out-of-order superscalar architecture." Thesis, University of Edinburgh, 1999. http://hdl.handle.net/1842/15118.

Der volle Inhalt der Quelle
Annotation:
This thesis presents a study into a technique for improving performance in out-of-order superscalar architectures. It identifies three technological trends limiting superscalar performance; they are the increasing cost of a main memory access, control dependencies and the greater hardware complexity of out-of-order execution. Decoupling is a technique that can provide higher performance through the machine of dynamically executing, asynchronous instruction streams. It offers the capability to improve ILP, through effective latency hiding and dynamic scheduling, and to reduce hardware complexit
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

Ubal, Tena Rafael. "Out-of-Order Retirement of Instructions in Superscalar, Multithreaded, and Multicore Processors." Doctoral thesis, Universitat Politècnica de València, 2010. http://hdl.handle.net/10251/8535.

Der volle Inhalt der Quelle
Annotation:
Los procesadores superescalares actuales utilizan un reorder buffer (ROB) para contabilizar las instrucciones en vuelo. El ROB se implementa como una cola FIFO first in first out en la que las instrucciones se insertan en orden de programa después de ser decodificadas, y de la que se extraen también en orden de programa en la etapa commit. El uso de esta estructura proporciona un soporte simple para la especulación, las excepciones precisas y la reclamación de registros. Sin embargo, el hecho de retirar instrucciones en orden puede degradar las prestaciones si una operación de alta latencia es
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

Perais, Arthur. "Increasing the performance of superscalar processors through value prediction." Thesis, Rennes 1, 2015. http://www.theses.fr/2015REN1S070/document.

Der volle Inhalt der Quelle
Annotation:
Bien que les processeurs actuels possèdent plus de 10 cœurs, de nombreux programmes restent purement séquentiels. Cela peut être dû à l'algorithme que le programme met en œuvre, au programme étant vieux et ayant été écrit durant l'ère des uni-processeurs, ou simplement à des contraintes temporelles, car écrire du code parallèle est notoirement long et difficile. De plus, même pour les programmes parallèles, la performance de la partie séquentielle de ces programmes devient rapidement le facteur limitant l'augmentation de la performance apportée par l'augmentation du nombre de cœurs disponibles
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Davis, Kimberly D. "Out of Order." Thesis, University of North Texas, 2013. https://digital.library.unt.edu/ark:/67531/metadc271800/.

Der volle Inhalt der Quelle
Annotation:
Out of Order is a documentary film that explores the emotional and physical aspects of living with polycystic ovarian syndrome. This reproductive disorder affects between 5 and 10% of all women of reproductive age. This film features an animated, autobiographical look at director Kimberly Davis' personal experience with this condition.
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Ballard, Susan Patricia Art College of Fine Arts UNSW. "Out of order: explorations in digital materiality." Publisher:University of New South Wales. Art, 2008. http://handle.unsw.edu.au/1959.4/42596.

Der volle Inhalt der Quelle
Annotation:
Digital art installation is the result of informatic materials entering gallery spaces and challenging the establishment of media forms. This thesis contends that the open, recursive and recombinatory process of looking at digital installation is in fact the result of noisy relations between information and the spatial temporal contexts of the art gallery. In order to focus on the processes of informatic materials within gallery spaces, this thesis identifies four key modulations of noise and materiality ? emergence, feedback, entropy and delay. I demonstrate how these impact on a range of rec
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Palomar, Pérez Óscar. "Reusing cached schedules in an out-of-order processor with in-order issue logic." Doctoral thesis, Universitat Politècnica de Catalunya, 2011. http://hdl.handle.net/10803/80536.

Der volle Inhalt der Quelle
Annotation:
Modern processors use out-of-order processing logic to achieve high performance in Instructions Per Cycle (IPC) but this logic has a serious impact on the achievable frequency. In order to get better performance out of smaller transistors there is a trend to increase the number of cores per die instead of making the cores themselves bigger. Moreover, for throughput-oriented and server workloads, simpler in-order processors that allow more cores per die and higher design frequencies are becoming the preferred choice. Unfortunately, for other workloads this type of cores result in a lower sin
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Mladinovic, Mirjam. "'In order when most out of order' : crowds and crowd scenes in Shakespearean drama." Thesis, University of Liverpool, 2011. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.569436.

Der volle Inhalt der Quelle
Annotation:
This thesis investigates the representations of crowds and crowd scenes in Shakespearean drama. Contrary to the assumption that the crowd's character in early modern drama had a peripheral role, this thesis argues that Shakespeare's crowd is a complex "character" in its ,. own right, and that the playwright's use of it in his drama reveals its dramatic importance. / On the stage the crowd was not dangerous because its role was scripted. This study further proposes to view the character of the crowd from a perspective that has not been applied before in reading Shakespeare's drama. It employs M
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Quiñones, Moreno Eduardo. "Predicated execution and register windows for out-of-order processors." Doctoral thesis, Universitat Politècnica de Catalunya, 2008. http://hdl.handle.net/10803/6023.

Der volle Inhalt der Quelle
Annotation:
ISA extensions are a very powerful approach to implement new hardware techniques that require or benefit from compiler support: decisions made at compile time can be complemented at runtime, achieving a synergistic effect between the compiler and the processor. This thesis is focused on two ISA extensions: predicate execution and register windows. Predicate execution is exploited by the if-conversion compiler technique. If-conversion removes control dependences by transforming them to data dependences, which helps to exploit ILP beyond a single basic-block. Register windows help to reduce the
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Stanley, Christopher. "Out-with the law : urban (de)reguation and (dis)order." Thesis, University of Kent, 1994. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.387227.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
11

Lövgren, Simon. "Simulating Energy-Efficient Hardware The Software Out-of-order Processor." Thesis, Uppsala universitet, Institutionen för informationsteknologi, 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-332801.

Der volle Inhalt der Quelle
Annotation:
The modern trends for technology scaling are not extremely bright. The cost of transistors have leveled off recently, effectively halting the ability to put additional transistors on a chip for the same price. In addition, Dennard Scaling, what has allowed for switching additional transistors whilst scaling to smaller nodes isslowing significantly. This thesis, with focus on the hardware, proposes anenhanced stall-on-use in-order core hardware/software co-design which improves performance and energy efficiency by allowing out-of-program-order executionthrough allowing the hardware and software
APA, Harvard, Vancouver, ISO und andere Zitierweisen
12

Balaji, Sudarshan. "A methodology for power-model generation in Out-Of-Order Processors." Thesis, Uppsala universitet, Institutionen för informationsteknologi, 2016. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-292808.

Der volle Inhalt der Quelle
Annotation:
This thesis presents a methodology to develop a power model for an Out-of-Order processor. The model is based on an existing simplistic power model and can estimate the power consumption of an application with better accuracy. To develop this model, a set of benchmarks are written, which have fine-grained instruction level events from an application as input. The benchmarks isolate the effect of these events on the power consumption of the processor and produce a data set that relates power and the events as output. Then, linear regression is used to establish the trend from the data sets and
APA, Harvard, Vancouver, ISO und andere Zitierweisen
13

Chen, Weiwei. "Out-of-order Parallel Discrete Event Simulation for Electronic System-Level Design." Thesis, University of California, Irvine, 2013. http://pqdtopen.proquest.com/#viewpdf?dispub=3597427.

Der volle Inhalt der Quelle
Annotation:
<p> The large size and complexity of the modern embedded systems pose great challenges to design and validation. At the so called electronic system level (ESL), designers start with a specification model of the system and follow a systematic top-down design approach to refine the model to lower abstraction levels step-by-step by adding implementation details. ESL models are usually written in C-based System-level Description Languages (SLDLs), and contain the essential features, such as clear structure and hierarchy, separate computation and communication, and explicit parallelism. The validat
APA, Harvard, Vancouver, ISO und andere Zitierweisen
14

Wright, Alexa. "Out of Order An investigation into the visual significance of human monstrosity." Thesis, University of Westminster, 2009. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.507843.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
15

Gisby, Steven David. "In order and out of time : compositions exploring processes, polymeters and balance." Thesis, Brunel University, 2010. http://bura.brunel.ac.uk/handle/2438/4515.

Der volle Inhalt der Quelle
Annotation:
These compositions explore concepts based on processes and polymeter. Drawing on influences ranging from Steve Reich to Conlon Nancarrow and Nik Bärtsch they use and develop an approach to rhythmic thinking based on ostinati constructed of layers of different speeds. Through the use of click tracks, they look at how an ensemble can be enabled to perform rhythms that, without the electronic support, would be unplayable – crossing a line between the possible and the impossible. By means of processes built on a number of different ideas, the pieces explore how these can be used to affect both the
APA, Harvard, Vancouver, ISO und andere Zitierweisen
16

Jaleel, Aamer. "The effects of aggressive out-of-order mechanisms on the memory sub-system." College Park, Md. : University of Maryland, 2005. http://hdl.handle.net/1903/3371.

Der volle Inhalt der Quelle
Annotation:
Thesis (Ph. D.) -- University of Maryland, College Park, 2005.<br>Thesis research directed by: Electrical Engineering. Title from t.p. of PDF. Includes bibliographical references. Published by UMI Dissertation Services, Ann Arbor, Mich. Also available in paper.
APA, Harvard, Vancouver, ISO und andere Zitierweisen
17

LOBO, ROSANA CORREA. "PROVISIONAL HELL (INFERNO PROVISÓRIO): REPRESENTATIONS OF A BRAZIL OUT OF ORDER AND PROGRESS." PONTIFÍCIA UNIVERSIDADE CATÓLICA DO RIO DE JANEIRO, 2014. http://www.maxwell.vrac.puc-rio.br/Busca_etds.php?strSecao=resultado&nrSeq=24297@1.

Der volle Inhalt der Quelle
Annotation:
PONTIFÍCIA UNIVERSIDADE CATÓLICA DO RIO DE JANEIRO<br>COORDENAÇÃO DE APERFEIÇOAMENTO DO PESSOAL DE ENSINO SUPERIOR<br>PROGRAMA DE SUPORTE À PÓS-GRADUAÇÃO DE INSTS. DE ENSINO<br>Investigação de como se configura a representação do imaginário nacional na literatura contemporânea a partir da saga Inferno provisório, de Luiz Ruffato, publicada em cinco volumes pela editora Record. Num tempo em que a narrativa da nação se faz ficção desnecessária, por ser fruto de contingências históricas já ultrapassadas, o autor resiste à estandardização cultural desencadeada pelo processo de globalização, sem ca
APA, Harvard, Vancouver, ISO und andere Zitierweisen
18

Maritz, S. I. "Evaluating the contribution made by works order close-out meetings at Nampak Flexible Ndabeni." Thesis, Stellenbosch : Stellenbosch University, 2008. http://hdl.handle.net/10019.1/5749.

Der volle Inhalt der Quelle
Annotation:
Thesis (MBA (Business Management))--Stellenbosch University, 2008.<br>ENGLISH ABSTRACT: The aim of this paper was to prove the contribution of the Works Order Close-Out Meeting process at Nampak Flexible Ndabeni, through the development of a Close-Out Meeting contribution evaluation model. Although the value of the Close-Out Meeting process is intuitively understood, a formal measurement would underscore the importance of the process. Using a funnel-based analysis, a total of 63 Close-Out Meeting findings was identified for formal benefit review and were categorised into five finding groups. F
APA, Harvard, Vancouver, ISO und andere Zitierweisen
19

Zeng, Hui. "Managing datapath resources in an out-of-order processor for performance and energy efficiency." Diss., Online access via UMI:, 2009.

Den vollen Inhalt der Quelle finden
APA, Harvard, Vancouver, ISO und andere Zitierweisen
20

Fuchs, Franz Anton. "Analysis of Transient-Execution Attacks on the out-of-order CHERI-RISC-V Microprocessor Toooba." Thesis, KTH, Skolan för elektroteknik och datavetenskap (EECS), 2021. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-291743.

Der volle Inhalt der Quelle
Annotation:
Transient-execution attacks have been deemed a large threat for microarchitectures through research in recent years. In this work, I reproduce and develop transient-execution attacks against RISC-V and CHERI-RISC-V microarchitectures. CHERI is an instruction set architecture (ISA) security extension that provides fine-grained memory protection and compartmentalisation. I conduct transient-execution experiments for this work on Toooba – a superscalar out-of-order processor implementing CHERI-RISC-V. I present a new subclass of transient-execution attacks dubbed Meltdown-CF(Capability Forgery).
APA, Harvard, Vancouver, ISO und andere Zitierweisen
21

五島, 正裕. "Out-of-Order ILPプロセッサにおける命令スケジューリングの高速化の研究". 京都大学 (Kyoto University), 2004. http://hdl.handle.net/2433/147597.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
22

Volanksy, Ami. "Order out of disorder : the 'pendulum syndrome' of centralization and decentralization processes in education - the case of England and Wales." Thesis, University of Oxford, 1991. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.315908.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
23

Popp, Pauline [Verfasser], and Marianne [Akademischer Betreuer] Dieterich. "Out of Balance! Out of Order? : a multimodal approach on the consequences of chronic structural and functional vestibular syndromes on cognitive function, neural processing, and brain structure / Pauline Popp ; Betreuer: Marianne Dieterich." München : Universitätsbibliothek der Ludwig-Maximilians-Universität, 2018. http://d-nb.info/1173616063/34.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
24

Hollowell, Meghan Yancy. "The Use of Face-to-Face and Out-of-Classroom Technology in Higher Education." University of Cincinnati / OhioLINK, 2010. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1282321147.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
25

Joutel, Simon. "Study of neutronics parameters of EDF reactors cores (or associated) in order to watch out neutonics fluxes ad validate inherent calculations." Thesis, KTH, Fysik, 2015. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-159958.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
26

Ascher, George P. "Looking back in order to reach out an experiment in ministry to aid one culture in reaching another with the Gospel /." Online full text .pdf document, available to Fuller patrons only, 1987. http://www.tren.com.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
27

Baró, i. Urbea Jordi. "Avalanches in Out of Equilibrium Systems: Statistical Analysis of Experiments and Simulations." Doctoral thesis, Universitat de Barcelona, 2015. http://hdl.handle.net/10803/301273.

Der volle Inhalt der Quelle
Annotation:
Instead of a linear and smooth evolution, many physical system react to external stimuli in avalanche dynamics. When an out of equilibrium system governed by disorder is externally driven the evolution of internal variables is local and non-homogeneous. This process is a collective behaviour adiabatically quick known as avalanches. Avalanche dynamics are associated to the transformation of spatial domains in different scales: from microscopic, to large catastrophic events such as earthquakes or solar flares. Avalanche dynamics is also involved in interdisiplinar topics such as the return pri
APA, Harvard, Vancouver, ISO und andere Zitierweisen
28

Kavanová, Tereza. "Optimalizace systému objednávání zboží ve společnosti Ahold Czech Republic, a.s." Master's thesis, Vysoká škola ekonomická v Praze, 2011. http://www.nusl.cz/ntk/nusl-142259.

Der volle Inhalt der Quelle
Annotation:
The aim of this Master's thesis is to describe the current system of ordering goods at Ahold Czech Republic, a.s., based on the analysis of these processes determine the possibilities of optimalization ot ordering tools. The ambition of this Master's thesis is to finacially assess losses caused by out of stocks in this company.
APA, Harvard, Vancouver, ISO und andere Zitierweisen
29

Plyaskin, Roman [Verfasser], Andreas [Akademischer Betreuer] Herkersdorf, and Wolfgang [Akademischer Betreuer] Rosenstiel. "Fast and accurate performance simulation of out-of-order processing cores in embedded systems / Roman Plyaskin. Gutachter: Wolfgang Rosenstiel ; Andreas Herkersdorf. Betreuer: Andreas Herkersdorf." München : Universitätsbibliothek der TU München, 2014. http://d-nb.info/1054753393/34.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
30

Walters, Robert G. "Order out of chaos: a study of the application of Auftragstaktik by the 11th Panzer Division during the Chir River battles 7 - 19 December 1942." Thesis, Monterey, California. Naval Postgraduate School, 1989. http://hdl.handle.net/10945/26144.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
31

Gräslund, David. "Arbitrability and Foreign Law : An analysis of under which state’s law a dispute must be amenable to out-of-court settlement in order to be arbitrable under Swedish law." Thesis, Uppsala universitet, Juridiska institutionen, 2015. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-260107.

Der volle Inhalt der Quelle
Annotation:
Which State’s law should determine if a dispute is amenable to out-of-court settlement and consequently whether a dispute is arbitrable under Swedish law? Some legal scholars reason that general principles of private international law should solve the question as a conflict-of-laws issue, while others believe that Swedish mandatory law should apply directly. The Swedish Arbitration Act is unclear and both solutions find support in contradictory case law. It is thus not only debatable what the law should be, but also what it is. The Supreme Court recently had an opportunity to clarify this poin
APA, Harvard, Vancouver, ISO und andere Zitierweisen
32

Ferreira, Ana Margarida dos Santos Simões. "Capital structure and dividends : evidence from Portugal (2003-2014)." Master's thesis, Instituto Superior de Economia e Gestão, 2015. http://hdl.handle.net/10400.5/11881.

Der volle Inhalt der Quelle
Annotation:
Mestrado em Finanças<br>O objetivo deste trabalho é replicar o estudo da Fama e French (2002) a sobre da estrutura de capital e as conexões entre rentabilidade, investimentos e volatilidade com a distribuição de dividendos e alavancagem no caso Português. O nosso proposito é analisar a relação entre a estrutura de capital, dividendos e juros sobre o capital próprio, utilizando as empresas portuguesas negociadas na Euronext, para o período entre 2003 e 2014.<br>The goal of this dissertation is to use the Portuguese case to replicate the study of Fama & French (2002) regarding the capital struct
APA, Harvard, Vancouver, ISO und andere Zitierweisen
33

Einarsson, Sigurdur. "Analysis of a Flexible Small-Series Flow Line for Prototype Production of Cutting Inserts : A study carried out at Sandvik Coromant in Gimo in order to evaluate how a new flexibleflow line can be planned in order to meet requirements of short lead times andhigh service level." Thesis, KTH, Industriell produktion, 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-151254.

Der volle Inhalt der Quelle
Annotation:
In the modern manufacturing industry the need for faster and more robust product development processes is seen as one of the main strategic areas to focus on in order to support sustainable growth and even long term survival of companies due to continuously increasing global competition. For the metal-cutting tool manufacturer Sandvik Coromant product development is seen as a key strategic area and due to that improvements in the overall product development chain are being worked on. In order to have more reliable production of prototypes and at the same time have them delivered within short a
APA, Harvard, Vancouver, ISO und andere Zitierweisen
34

Li, Xiaohu. "Security Analysis on Network Systems Based on Some Stochastic Models." ScholarWorks@UNO, 2014. http://scholarworks.uno.edu/td/1931.

Der volle Inhalt der Quelle
Annotation:
Due to great effort from mathematicians, physicists and computer scientists, network science has attained rapid development during the past decades. However, because of the complexity, most researches in this area are conducted only based upon experiments and simulations, it is critical to do research based on theoretical results so as to gain more insight on how the structure of a network affects the security. This dissertation introduces some stochastic and statistical models on certain networks and uses a k-out-of-n tolerant structure to characterize both logically and physically the behavi
APA, Harvard, Vancouver, ISO und andere Zitierweisen
35

Endo, Fernando Akira. "Génération dynamique de code pour l'optimisation énergétique." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAM044/document.

Der volle Inhalt der Quelle
Annotation:
Dans les systèmes informatiques, la consommation énergétique est devenue le facteur le plus limitant de la croissance de performance observée pendant les décennies précédentes. Conséquemment, les paradigmes d'architectures d'ordinateur et de développement logiciel doivent changer si nous voulons éviter une stagnation de la performance durant les décennies à venir.Dans ce nouveau scénario, des nouveaux designs architecturaux et micro-architecturaux peuvent offrir des possibilités d'améliorer l'efficacité énergétique des ordinateurs, grâce à la spécialisation matérielle, comme par exemple les co
APA, Harvard, Vancouver, ISO und andere Zitierweisen
36

Palomares, Vincent. "Combiner approches statique et dynamique pour modéliser la performance de boucles HPC." Thesis, Versailles-St Quentin en Yvelines, 2015. http://www.theses.fr/2015VERS040V/document.

Der volle Inhalt der Quelle
Annotation:
La complexité des CPUs s’est accrue considérablement depuis leurs débuts, introduisant des mécanismes comme le renommage de registres, l’exécution dans le désordre, la vectorisation, les préfetchers et les environnements multi-coeurs pour améliorer les performances avec chaque nouvelle génération de processeurs. Cependant, la difficulté a suivi la même tendance pour ce qui est a) d’utiliser ces mêmes mécanismes à leur plein potentiel, b) d’évaluer si un programme utilise une machine correctement, ou c) de savoir si le design d’un processeur répond bien aux besoins des utilisateurs.Cette thèse
APA, Harvard, Vancouver, ISO und andere Zitierweisen
37

Krantz, Helena. "Matter that matters : A study of household routines in a process of changing water and sanitation arrangements." Doctoral thesis, Linköping : Dept. of Water and Environmental Studies, Univ, 2005. http://www.ep.liu.se/diss/arts_science/2005/316/index.html.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
38

Araújo, José. "Design, Implementation and Validation of Resource-Aware and Resilient Wireless Networked Control Systems." Doctoral thesis, KTH, Reglerteknik, 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-152535.

Der volle Inhalt der Quelle
Annotation:
Networked control over wireless networks is of growing importance in many application domains such as industrial control, building automation and transportation systems. Wide deployment however, requires systematic design tools to enable efficient resource usage while guaranteeing close-loop control performance. The control system may be greatly affected by the inherent imperfections and limitations of the wireless medium and malfunction of system components. In this thesis, we make five important contributions that address these issues.  In the first contribution, we consider event- and self-
APA, Harvard, Vancouver, ISO und andere Zitierweisen
39

Nogueira, Leila de Mello Yañez. "Estabilidade versus flexibilidade: a dicotomia necessária à inovação na gestão de recursos humanos em uma organização pública, estatal eestratégica como Bio-Manguinhos / Fiocruz." reponame:Repositório Institucional da FIOCRUZ, 2009. https://www.arca.fiocruz.br/handle/icict/2500.

Der volle Inhalt der Quelle
Annotation:
Made available in DSpace on 2011-05-04T12:36:29Z (GMT). No. of bitstreams: 0 Previous issue date: 2009<br>Este trabalho propõe analisar o fenômeno da terceirização desenvolvido ao longo das duas últimas décadas em Bio-Manguinhos. Trata-se de um estudo de caso realizado na unidade de produção de vacinas e reagentes para diagnóstico da Fundação Oswaldo Cruz. Inicialmente foi realizado um estudo de cenário, analisando a política de gestão do trabalho desenvolvida pelo Estado brasileiro para as instituições públicas. A seguir, a análise passou a verificar a inserção da Fiocruz nessas políticas,
APA, Harvard, Vancouver, ISO und andere Zitierweisen
40

Yang, Yu-Xiang, and 楊喻翔. "Micro-Architecture Design of the Superscalar Out-of-order Execution Processor." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/8w76h7.

Der volle Inhalt der Quelle
Annotation:
碩士<br>國立雲林科技大學<br>電機工程系<br>105<br>This thesis aimed at that how to build a simple and verified processor architecture with dynamic multiple issue. The proposed method is to develop a suitable processor architecture and its surrounding acceleration circuits for the researchers with lack of compiler technology. In order to reduce the use of difficulty, we will detail the description of design problems. Multiple issue is a processor core has ILP (Instruction-level parallelism) computing. This technology can achieve higher instruction throughput at the same CPU frequency, thus accelerating progra
APA, Harvard, Vancouver, ISO und andere Zitierweisen
41

Lee, Hsien-Yen. "Implementation and comparison of two wakeup logic for out-of-order superscalar microprocessors." Thesis, 2002. http://hdl.handle.net/1957/31664.

Der volle Inhalt der Quelle
Annotation:
The wakeup logic in out-of-order superscalar microprocessors is responsible for resolving the data dependency hazard between instructions. Its performance is critical because it may prevent the processor to have deeper pipelines or to achieve the highest IPC (Instructions Per Cycle) possible. In this thesis, we implemented the circuit and layout for two types of wakeup logic (CAM-type and RAM-type) used in the modem microprocessors. These two implementations are simulated extensively using a circuit level simulator - HSPICE, with full parasitic loads. We, then, made comparison between the CAM-
APA, Harvard, Vancouver, ISO und andere Zitierweisen
42

Lin, Jing-Wun, and 林璟汶. "Design, analysis, and implementation of a parameter-based out-of-order superscalar microprocessor conforming to ESL methodology." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/55105630276880390220.

Der volle Inhalt der Quelle
Annotation:
碩士<br>國立成功大學<br>電腦與通信工程研究所<br>96<br>In this thesis, we design an out-of-order superscalar microprocessor which is based on the popular ARM microprocessor. Many micro-architecture complexities arise when transforming an ARM-based pipelined processor into a superscalar one. The first is to choose a superscalar architecture from a reservation station based model or a register update unit based processor model. And the second one is to deal with the special characteristics of the ARM architecture which has multiple execution modes, multi-banked register files, addressing modes, CICS-like instructi
APA, Harvard, Vancouver, ISO und andere Zitierweisen
43

Lai, Yu-ren, and 賴鈺仁. "Design of the Superscalar Dual-Core Architecture using Single-Issue Out-of-Order Instruction Pipe for Embedded System." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/y6at3w.

Der volle Inhalt der Quelle
Annotation:
碩士<br>國立中山大學<br>電機工程學系研究所<br>97<br>With the improvement in VLSI technology, realization of multiple processor cores on a single chip becomes easier. Therefore, more and more users execute applications on current multi-core architectures. The multi-core system has a brilliant performance in executing multi-threaded applications, but this system could not gain any performance in single-threaded applications. This paper proposes a multi-core architecture for enhancing single-threaded performance in embedded system, and focuses on four points: 1. Construct a simple out-of-order execution core. 2.
APA, Harvard, Vancouver, ISO und andere Zitierweisen
44

Ρόκας, Παρασκευάς. "Βελτιστοποίηση και επαλήθευση μοντέλων πρόβλεψης της απόδοσης". Thesis, 2010. http://nemertes.lis.upatras.gr/jspui/handle/10889/3891.

Der volle Inhalt der Quelle
Annotation:
Η σχεδίαση μικροεπεξεργαστών είναι μια πολύπλοκη και σύνθετη διαδικασία, η οποία δυσκολεύει όσο οι τεχνολογικές εξελίξεις προχωράνε. Οι μελετητές της απόδοσης των μικροεπεξεργαστών, για να μελετήσουν την απόδοση ενός συστήματος καταλήγουν στη χρησιμοποίηση πλήρους προσομοίωσης, καάτι που είναι εξαιρετικά πολύπλοκο και χρονοβόρο. Σε αυτή την εργασία παρουσιάζεται ένα αναλυτικό μοντέλο που μοντελοποιεί τις επιδόσεις του επεξεργαστή με βάση το πρόγραμμα που εκτελεί και τα δομικά του χαρακτηριστικά. Το μοντέλο αυτό βασίζεται πάνω σε έναν εκτός σειράς υπερβαθμωτό επεξεργαστή. Η μοντελοποίηση βασίζ
APA, Harvard, Vancouver, ISO und andere Zitierweisen
45

REMI, CRUSSIERE, and 雷明. "Out of order." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/33468454398046724417.

Der volle Inhalt der Quelle
Annotation:
碩士<br>國立臺灣藝術大學<br>應用媒體藝術研究所<br>97<br>This film deals with love and desire, illusion and reality. It shows two unsuccessful relationships, one supposedly based on love, the other one built on desire. Both of them are a failure, because of an unavoidable idealization of the partner that blinds the characters. This film deals with relationships but shows loneliness. The wooden door is a metaphor of drug addiction and shows the main character’s preference of illusion over reality. “Out of order” is a comedy, but it shows people in pain. Confronted to an unbearable sorrow, one can easily become dep
APA, Harvard, Vancouver, ISO und andere Zitierweisen
46

Tseng, Francis 1976. "Braids: out-of-order performance with almost in-order complexity." Thesis, 2007. http://hdl.handle.net/2152/3710.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
47

Wang, Te-Lin, and 王德琳. "Chaos out of order -- The issue of space operation." Thesis, 1998. http://ndltd.ncl.edu.tw/handle/74217824752084439695.

Der volle Inhalt der Quelle
Annotation:
碩士<br>淡江大學<br>建築學系研究所<br>86<br>Abstract:This study describes with the point of view of Architectural aesthetics to operate the space of issue. F urther more, these ideas, declaration of the designer to the architectural spa ce will develop its possibilities of the space of issue. Its purpose is to dev elop a set of processes operated by model operation. It may substitute the ima gine and the diagram of two dimensional space and construct the frame of issue , It may contribute the thought of Architectural
APA, Harvard, Vancouver, ISO und andere Zitierweisen
48

CHEN, YI-HAO, and 陳意澔. "A Repair Notification Robot for Out-of-Order Lamps." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/b85558.

Der volle Inhalt der Quelle
Annotation:
碩士<br>逢甲大學<br>資訊工程學系<br>107<br>The era of robots has arrived today. Many companies and organizations have achieved the goal of reducing operating costs using labor-saving methods. In order to reduce the manpower requirements, but also to maintain the low-level simple work required for some operations, it is a feasible method to use robots instead of manpower to achieve the same functions. The main purpose of this thesis is to study the feasibility of using domestic Zenbo robots instead of manpower for fault identification and active repair of fluorescent lamps. Zenbo is developed on the basis
APA, Harvard, Vancouver, ISO und andere Zitierweisen
49

Jheng, Bo-Wun, and 鄭博文. "Impact of Out-of-Order Delivery in DiffServ Networks." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/24771481310702754874.

Der volle Inhalt der Quelle
Annotation:
碩士<br>國立中山大學<br>電機工程學系研究所<br>94<br>Packet reordering is generally considered to have negative impact on network performance. In this thesis, the packet reordering is used to assist TCP to recover faster in RED-enabled packet switched networks. The RED queue management prevents networks from congestion by dropping packets with a probability earlier than the time when congestion would actually occur. After a RED router drops a packet, packer reordering is introduced during TCP’s recovery process. A new, simple buffer mechanism, called RED with Recovery Queue or R2Q, is proposed to create this ty
APA, Harvard, Vancouver, ISO und andere Zitierweisen
50

Hsu, Sheng-Kai, and 許勝凱. "Reducing Packet Out-of-Order Syndrome on Clustered IPSec Gateways." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/94542951801755233707.

Der volle Inhalt der Quelle
Annotation:
碩士<br>國立交通大學<br>資訊工程系<br>89<br>Despite the improvements of both computing power and reliability brought by clustered IPSec gateways, the overall network throughput can be affected by a side effect called packet out-of-order syndrome on the gateways. The syndrome results from the conflict between the clustered architecture and anti-replay window mechanism of IPSec protocol. In this thesis, we analyze the packet out-of-order syndrome on two possible system architectures of clustered IPSec gateway solutions. Based on the analysis, simulations are performed on the two clustered architectures. The
APA, Harvard, Vancouver, ISO und andere Zitierweisen
Wir bieten Rabatte auf alle Premium-Pläne für Autoren, deren Werke in thematische Literatursammlungen aufgenommen wurden. Kontaktieren Sie uns, um einen einzigartigen Promo-Code zu erhalten!