Teses / dissertações sobre o tema "Lithographs"
Crie uma referência precisa em APA, MLA, Chicago, Harvard, e outros estilos
Veja os 50 melhores trabalhos (teses / dissertações) para estudos sobre o assunto "Lithographs".
Ao lado de cada fonte na lista de referências, há um botão "Adicionar à bibliografia". Clique e geraremos automaticamente a citação bibliográfica do trabalho escolhido no estilo de citação de que você precisa: APA, MLA, Harvard, Chicago, Vancouver, etc.
Você também pode baixar o texto completo da publicação científica em formato .pdf e ler o resumo do trabalho online se estiver presente nos metadados.
Veja as teses / dissertações das mais diversas áreas científicas e compile uma bibliografia correta.
Frazer, Patrick. "Thomas Way and T.R. Way : commercial and artistic lithographers". Thesis, University of Reading, 2001. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.365848.
Texto completo da fonteBenoit-Renault, Viviane. "La lithographie en Bretagne (1819-1914)". Thesis, Paris 4, 2014. http://www.theses.fr/2014PA040217.
Texto completo da fonteIn the history of print, the study of lithography in province has long been neglected. The first founding worksonly date back to the last forty years. The purpose of this thesis on the history of art is to address this shortcomingby analysing lithography in historical Brittany between 1819 and 1914 bearing an interdisciplinarity mind open toeconomic and social history.Initially this research will be based on the study of lithographic printing. Following a general overviewon the evolution of the number of workshops and their geographical breakdown, leading and secondarylithographic centres are being considered. On the other hand, autographic printing which established itself andcame into competition with the lithographic workshops is being analysed with an emphasis on tin-plate printingworkshops being a characteristic feature of Brittany. Beyond this historical study, the following chapter paints aportrait of the printers and that of the lithographic production scene which being a social environment. It is aworld whereby the painter mingles with the professional lithographer, the drawing lover, the printer and thecraftsman. The reality of the printing world is being addressed in the third chapter with a particular focus on theworkshop and the history of the equipment specific to printing (plates and moulds). Subsequently the workshop isseen as a world in its own right with its celebrations and codes responsible for the working cohesion. This unitywill be accentuated in the second half of the XIX century with the constitution of lithographers unions. Thanksto the creation of a lithographs inventory drawn upon the austerity regarding registration of copyright and printpublic funds, the analysis of the print production in Brittany reveals an unexpected thematic diversity. The artisticprint on sheet, collections or illustrated albums is analysed from a stylistic and iconographic point of view.Finally, the study of useful lithography and the tin-box will bring this chapter to a close.Print trade which formsthe last link in the production chain is recounted through its merchants, a trade being transformed throughout XIXcentury, door-to-door and casual sellers. Lastly, the topic of the diffusion of print in Brittany is put forward asbeing the market place of Breton lithography within a national and international network
Monroe, Julia Boyette. ""Modern Primitive: Parody, Ambivalence, and Paradox in Paul Colin's Le Tumulte Noir"". VCU Scholars Compass, 2014. http://scholarscompass.vcu.edu/etd/624.
Texto completo da fonteHauser, Hubert [Verfasser], e Holger [Akademischer Betreuer] Reinecke. "Nanoimprint lithography for solar cell texturisation = Nanoimprint Lithographie fuer die Solarzellentexturierung". Freiburg : Universität, 2013. http://d-nb.info/1123476160/34.
Texto completo da fonteCaillau, Mathieu. "Nanotechnologie verte : des polymères de la biomasse comme résines éco-efficientes pour la lithographie". Thesis, Lyon, 2017. http://www.theses.fr/2017LYSEC037/document.
Texto completo da fonte. Lithography is a key step in micro / nanotechnology with applications in the fields of microelectronics, flexible electronics, photonics, photovoltaics, microfluidics and biomedical. This lithography step requires the use of a writable resist to act as a temporary mask for transferring patterns in the underlying material by etching or deposition. Nowadays, lithography uses synthetic organic resin, organic solvents and hazardous chemicals which is contrary to environmental issues and generates additional costs associated with risk and waste management. Furthermore, regulation rules (REACh, US pollution act) tend to move toward the protection of human health and the environment from the risks that can be posed by chemicals and promote alternative chemicals. In this context, this PhD work aimed at replacing conventional synthetic organic resist with a biopolymer. This biopolymer will not be modified by synthetic organic chemistry, will be compatible with conventional lithography instruments and it should be developable in water. It was demonstrated that chitosan was a positive tone resist allowing accomplishing a complete lithography-etching process. The whole process was performed in aqueous solution without the use of hazardous chemicals. 50 nm features were obtained after ebeam lithography/plasma etching into a silica layer without the use of an additional masking layer. 0.3-0.5 μm feature were obtained using photolithography
Kadiri, Hind. "Auto-organisation assistée pour la nanoimpression à grande échelle et surfaces optiques multifonctionnelles". Thesis, Troyes, 2018. http://www.theses.fr/2018TROY0001.
Texto completo da fonteLarge scale nanostructuring is one of the major issues in nanotechnology and a bottleneck for addressing numerous societal issues (health, energy and environment). However, conventional lithographic methods used in microelectronics are becoming extremely expensive and complex especially when large scale nanostructuring is necessary. Therefore alternative cost-effective and easy to use nanolithography methods need to be developed.NanoImprint Lithography (NIL) is a very powerful method for fast and large scale nanostructuring provided the molds are available. In this study in collaboration with SILSEF, we developed a new and original nanopatterning technology at large scale and at low cost compared to other lithographic methods.Two scientific goals were tackeld: 1) development of a new method for manufacturing molds with different sizes of simple and complex structures and at large scale (20x20 cm2). Molds were obtained by using colloidal lithography combined with Reactive Ion Etching (RIE) and/or physical vapor deposition. 2) direct and indirect functional validations by self-organization for micro / meso and nanoimprint. Three applications have been addressed; enhanced light extraction efficiency of scintillating crystals, antireflection (vis and IR) and wettability in a context of multifunctional optical surfaces
Mehrotra, Prateek. "High Aspect Ratio Lithographic Imaging at Ultra-high Numerical Apertures: Evanescent Interference Lithography with Resonant Reflector Underlayers". Thesis, University of Canterbury. Electrical and Computer Engineering, 2012. http://hdl.handle.net/10092/6935.
Texto completo da fonteLakcher, Amine. "Nouvelles perspectives de métrologie dimensionnelle par imagerie de microscope électronique pour le contrôle de la variabilité des procédés de fabrication des circuits intégrés". Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT052/document.
Texto completo da fonteIn advanced technological nodes as well as derived technologies, aggressive design rules are needed. This leads to a complexity of structures in the current integrated circuits. Such structures pose a significant challenge to chip manufacturing processes, in particular patterning steps of lithography and etching. In order to improve and optimize these structures, designers need to rely on the rules and knowledge that engineers have about their processes. These rules need to be fed by complex dimensional and structural information: corner rounding, tip to tip distances, line end shortening, etc. Metrology must evolve so that engineers are able to measure and quantify the dimensions of the most complex structures in order to assess the process variability. Currently the variability is mainly quantified using data from the inline monitoring of simple structures as they are the only ones to guarantee a robust and reproducible measurement. But, they can hardly be considered as representative of the process or the circuit. Using CD-SEM metrology to measure complex structures in a robust way is a technical challenge. The creation of measurement recipes is complex, time consuming and does not guarantee a stable measurement. However, a significant amount of information is contained in the SEM image. The analysis tools provided by the equipment manufacturers allow to extract the SEM contours of a structure present in the image. Thus, the CD-SEM takes images and the metrology part is performed offline to estimate the variability.This thesis offers engineers new possibilities of dimensional metrology in order to apply it for process control of complex structures. SEM contours are used as a source of information and used to generate new metrics
Bouanani, Shayma. "Vers l'industrialisation de l'auto-assemblage dirigé des copolymères à blocs : développement de procédés de lithographie compatibles avec les noeuds technologiques sub-10 nm pour des applications de type contacts". Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT053/document.
Texto completo da fonteThe competitiveness-chasing in which industrial manufactures are involved, leads to an exponential increase in the number of functionalities per chips, as well as reducing their unit cost, which results in a continuous decrease of their size. To achieve this, DSA (Directed Self-Assembly) of block copolymers, combines conventional lithography techniques with the molecular-scale organizational properties of copolymers. In this framework, the overall objective of this thesis is to evaluate the industrialization potential of the DSA process by graphoepitaxy for contact hole shrink and contact multiplication applications. In particular, it is necessary to demonstrate the ability of this technique to meet the ITRS specifications in terms of CD uniformity, misalignment and hole open yield. A first study on contact shrink, based on the impact of material properties, surface affinity and guiding feature size, allows us to understand the mechanisms involved in the appearance of defects. A second part of the study deals with contact multiplication. To address this application, two types of guides have been studied: elliptical guiding patterns and more complex ones called "peanut". The study of the process window in terms of process parameters such as annealing time and temperature, but also commensurability was conducted. Particular attention was paid to guide size variation and its impact on DSA final pitch. Experimental data from this study were correlated with simulations. The success criteria are based on the lithographic performances that must be judged through advanced metrology. The development of a specific metrology to measure the placement error of contacts as well as their pitch was conducted
Armeanu, Ana. "Simulation électromagnétique utilisant une méthode modale de décomposition en ondelettes". Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00721767.
Texto completo da fonteSpaziani, Nicolas. "Détermination simultanée de la mise au point et de la dose d'un équipement de micro-lithographie optique". Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENT125/document.
Texto completo da fonteFollowing the ITRS roadmap, the critical dimension of the circuits are continuouslynarrowing. Optical Lithography still remains the cheapest way forintegrated circuits mass production. If the resists properties and the exposure wavelengthreduction had an important contribution to this result, the lens numericalaperture increase had a decisive impact. The numerical aperture is currently reaching1,30 thanks to the usage of water as immersion fluid between the lens andthe wafer. Future lens are targeting in a near future a 1,70 numerical aperture withimmersion fluids at higher refractive index. A direct consequence of these wider numericalaperture’s is the reduction of the depth of focus to few tens of nanometers,reducing the process windows and then the integrated circuits manufacturability. Inaddition the pure numerical aperture effect on focus, off axis illumination is leadingto amplify the reticle critical dimension variations, and the intrafield focus controlbecomes more and more crucial.The last scanner generation provides some tools to adjust the intrafield energy.As the two effects appear to compensate each of them critical dimension variation,it becomes very important to be able to dissociate the effect of one from the otherin order to select the most efficient mean to get the greater process windows. Moreover, the average value compensations must feed accurately the Run to Run feedback loop for the next exposed wafers.The purpose of this thesis is to find a way to un-correlate the various parametersaffecting the critical dimension uniformity. Some researchers tried to design specificfeatures whose shape modifications due to focus offset could be detected as an overlayerror measured by the appropriate tool, but the limitations seem to be actuallyreached. New tools, as scatterometers, could provide a more precise information.The desire output of this thesis would be to provide a methodology to allow an inline intrafield focus follow up for the future technologies at 20nm half pitch
Alleaume, Clovis. "Etude de la modification de la source dans l'utilisation de la méthode de co-optimisation source masque en lithographie optique : mise en oeuvre et applications". Thesis, Saint-Etienne, 2014. http://www.theses.fr/2014STET4007/document.
Texto completo da fonteConducted between December 2009 and December 2012 within the RET (resolution enhancement technology) team at STMicroelectronics Crolles and in partnership with Saint-Etienne laboratory Hubert Curien of the University of Lyon, this thesis entitled "Impact of changing the source while using the source mask optimization technique within optical lithography, and application to 20 nm technology node. ". In this thesis, Alleaume Clovis studied the optimization of the source used in optical lithography, technique usually called SMO (for source mask optimization) and applied the technique to the industry through several problems. The first part of the manuscript describe the optical lithography generalities, in order to allow a better understanding of the issues and the techniques used in this study. Indeed, to allow optical lithography to continue the miniaturization of microelectronic components, it is necessary to optimize many aspects of the lithography. The shape of the light source used is no exception to this rule and the use of extended sources, off-axis and more or less complex now enables the production of advanced technologies. The second part will then focus on the source modification and optimization. In a first step, the diffraction theory will be examined to demonstrate the theoretical interest of the thesis, and to allow a better understanding of the problem. Simulations and SEM measurements will be presented to show the effectiveness of SMO method. As this study gave birth to several innovative source optimization techniques, they will be presented. Thus, the method of internal SMO based on the phenomenon of diffraction and created during this thesis will be presented and the results would be studied. The application of the source optimization to industrial problems will also be presented through different applications. Finally, a legacy of knowledge will be done by presenting the different tools developed during this thesis. A third part will deal with the study of tool which generate the source inside the scanner allowing the use of optimized and complex sources. The thesis has given rise to a new source decomposition technique using Zernike polynomial. It will be used in this study to model the degradation of a source, and for correlating the impact of a source modification due to SMO technique on the empirical model stability. The study of sources has been implemented according to industrial aspect to monitor the scanner with a quick method. In addition to the Zernike decomposition method, simulations can be used to complete this study. The forth chapter of this study will talk about this implementation. Finally, the last part of the study will talk about the co-optimization of the source with several elements, such as the mask OPC and the final shape of the desired pattern. Indeed, if the initial shape of the desired pattern plays an important role in defining the source, it is possible to modify the latter design shape, as well as the shape of the mask in order to optimize both the source and the target shape. These changes will be discussed in the last chapter
Mebiene-Engohang, Armel-Petit. "Etude du dégazage des résines pour les lithographies électronique et extrême ultraviolet". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT001/document.
Texto completo da fonteThe development of multiple e-beam lithography equipment is foreseen as an alternative to the 193i nm immersion photolithography for the advanced technological node (less than 14 nm). This next generation lithography is a potential challenger to the EUV (13.5 nm) lithography which is also under development. However, this technology faces important challenges in controlling the contamination of the electron optics due to the adsorption of molecules outgassed from resist under exposure and the subsequent formation of a carbonaceous film on optics surface. This contamination layer can lead to the transmission loss of the optics and, consequently, degrade the tool lithographic performances (throughput, CD uniformity, Line Width Roughness, etc.). It is thus important to understand the resist outgassing and induced contamination mechanisms in order to predict their effect on the process drifts. That was the driver axis of these thesis works. Firstly, we performed the state of the art related to the works already published in the EUV lithography case. Secondly, we designed and built-up an experimental setup and developed, in parallel, the methods allowing to study the mechanisms of resist outgassing and induced contamination on electron optics simulators, called “mimic”. Thirdly, we assessed the outgassing of several resist formulations in the same operating conditions as in the Matrix platform developed by MAPPER Lithography. We also measured the induced contamination layer on the mimics for each resist formulation using the developed experimental setup. And finally, we proposed an analytical model that allows to predict the contamination film growth inside mimic holes during exposure
Gomez, Castano Mayte. "Métamatériaux optiques : conception, fabrication à grande échelle et caractérisation". Thesis, Bordeaux, 2020. http://www.theses.fr/2020BORD0094.
Texto completo da fonteMetamaterials are artificially structured materials, thoroughly designed for achieving electromagnetic properties not observed in nature such as the negative refractive index. The purpose of this thesis is the development of up-scalable optical metamaterials that can be easily incorporated into actual devices. By combining colloidal lithography and electrodeposition, we report an entirely bottom-up fishnet metamaterial made of gold and air layers. A proper theoretical and experimental design gives rise to tunable refractive index, from positive to negative values in the near infrared. This structure is extended to multilayered fishnet metamaterials made by nanoimprint lithography and electrodeposition. We thoroughly analyze the optical response of the structures, which lead to strong negative index from the visible to near infrared. Their performance as optical sensors is studied when infiltrating different liquids through the air cavities. These techniques are used to fabricate nanostructured metallic substrates for studying the collective spontaneous emission of fluorescent molecules
Figueiro, Thiago Rosa. "Modélisation des procédés pour la correction des effets de proximity en lithographie électronique". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT011/document.
Texto completo da fonteSince the development of the first integrated circuit, the number of components fabricated in a chip continued to grow while the dimensions of each component continued to be reduced. For each new technology node proposed, the fabrication process had to cope with the increasing complexity of its scaling down. The lithography step is one of the most critical for miniaturization due to the tightened requirements in both precision and accuracy of the pattern dimension printed into the wafer. Current mass production lithography technique is optical lithography. This technology is facing its resolution limits and the industry is looking for new approaches, such as Multi-patterning (MP), EUV lithography, Direct Write (DW), Nano-imprint or Direct Self-Assembly (DSA). Although these alternatives present significant differences among each other, they all present something in common: they rely on e-beam writers at some point of their flow. E-beam based lithography is subject to phenomena that impact resolution such as are electron scattering, fogging, acid diffusion, CMP loading, etc. The solution the industry adopted to address these effects is to predict and compensate for them. This correction requires predicting the effects, which is achieved through modeling. Hence the importance of developing accurate models for e-beam process. In this thesis, the basic concepts involving modeling are presented. Topics such as data quality, model selection and model validation are introduced as tools for modeling of e-beam lithography. Moreover, the concepts of local and global sensitivity analysis were also presented. Different strategies of global sensitivity analysis were presented and discussed as well as one of the main aspects in its evaluation, which is the space sampling approach. State-of-the-art strategies for todays and future lithography processes were presented and each of their main steps were described. First Principle models that explain the physics and chemistry of the most influential steps in the process resolution were also discussed. Moreover, general Compact models for predicting the results from e-beam lithography were also presented. Finally, some of the limitations of the current approach were described. New compact models described as Point-Spread-Function (PSF) are proposed based on new distributions, such as Gamma and Voigt. Besides, a technique using Splines for describing a PSF is also proposed. Moreover, a flexible resist model able to integrate most of the observed behavior was also proposed, based on evaluating any pattern on the layout using metrics. Results using such method further improved the any of the PSF distribution approach on the critical features that were limiting the future technology nodes. Other specific models and strategies for describing and compensating for extreme-long-range effects and for matching two different fabrication processes are also proposed and described in this work. The calibration layout is a key factor for providing the calibration algorithm with the experimental data necessary to determine the values of each of the parameters of the model. Several strategies from the literature were briefly described before introducing one of the main propositions of this thesis, which is employing variance-based global sensitivity analysis to determine which patterns are more suitable to be used for calibration. A complete flow for selecting patterns for a calibration layout was presented. A study regarding the impact of process and metrology variability over the calibration result was presented, indicating the limits one may expect from the generated model according to the quality of the data used. Finally, techniques for assuring the quality of a model such as cross-validation were also presented and demonstrated in some real-life situations
Jussot, Julien. "Lithographie directe à faisceaux d’électrons multiples pour les nœuds technologiques sub-20nm". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT086/document.
Texto completo da fonteFor decades, the growth of the Semiconductor Industry (SI) has been driven by the paramount need for faster devices at a controlled cost primarily due to the shrinkage of chip transistors. The performances of future CMOS technology generations still rely on the decrease of the device dimensions. However, the photolithography is, today, the limiting factor for pattern miniaturization and the technology has been at a standstill since the development of 193-nm water-based immersion lithography. Moreover, another parameter limiting further semiconductor scaling is the transistor gate linewidth roughness (LWR), i.e. the standard deviation of the gate critical dimension (CD) along the line. The LWR needs to be controlled at the nanometer range to ensure good electrical performances of the future CMOS device. The lithography step is again identified as the root cause of the gate LWR. Indeed, the significant LWR (4-5 nm) of the patterns printed by photolithography is transferred into the gate during the subsequent plasma etching steps, resulting in a final gate LWR far above the sub-2 nm LWR targeted for the sub-20 nm technological nodes. In order to continue scaling down feature sizes of devices, the semiconductor industry is waiting for the maturity of next generation lithographies (NGL). Among NGL, one can find the promising mask-less direct-write techniques (ML2) in which multiple electron beam lithography (multibeam lithography) is regarded as a serious candidate for providing high resolution structures at a low cost. The firm MAPPER Lithography, associated with CEA-LETI is working on the development of such a technology. The aim of this work is to contribute to the development of a low energy (5 keV) multibeam technology and to focus on the improvement of the LWR of the printed patterns. Several process parameters have been investigated to decrease the LWR: the effect of a specific writing strategy, the influence of the under layers and the introduction of post-lithographic treatments such as plasma treatments or thermal annealing. This work has shown that by combining a biased writing strategy with H2 plasma treatment, a 41% LWR decrease could be obtained. Although this performance is still above the ITRS requirements, this work opens the pace for LWR optimization with multi-beam lithography
Zeggaoui, Nassima. "La lithographie par double impression pour les noeuds technologiques avancés". Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00637169.
Texto completo da fonteFurtak, Kamila. "La lignine : étude de son potentiel en tant que résine photosensible pour la photolithographie 1D et 3D". Thesis, Reims, 2017. http://www.theses.fr/2017REIMS004/document.
Texto completo da fonteThe goal of this work was to develop and to evaluate new, original and bio-based resist for lithographic application. To reach this purpose, we have selected various types of lignin and study the influence of lignin fractionation in different organic solvents on the final resist properties. We have chosen this photosensitive and crosslinkable polymer due to its great abundance and to its currently poor valorisation as a chemical feedstock, as well as the versatility of the functional groups that were directly responsible for its reactivity. We have investigated the photoreactivity of lignin-based resist at 395 nm, using LED light sources. Based on the FTIR and UV-vis monitoring, we have investigated and quantified the structural changes occurred during photoresist exposure to the light. However, they were more visible during the irradiation conducted in the air than in the inert atmosphere. Moreover, we have established the link between chemical structure of lignin and its reactivity observed by sensitivity, contrast and resolution tests. Additionally, we have proposed reasonable mechanisms based on literature data according to which lignin crosslinking occur under UV-visible photons. They comprised the formation of intermediates structures, chromophores, or low molecular weight volatile compounds, as well and crosslinking reactions. Finally, we have demonstrated that it was possible to fabricate one-, two- and three-dimensional structures from "just-fractionated" lignin photoresist by two-photon polymerisation achieved by direct laser writing
Zheng, Zijian. "Soft lithography and nanoimprint lithography for applications in polymer electronics". Thesis, University of Cambridge, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.613415.
Texto completo da fonteKandulski, Witold. "Shadow nanosphere lithography". [S.l.] : [s.n.], 2007. http://deposit.ddb.de/cgi-bin/dokserv?idn=985533013.
Texto completo da fonteMusgraves, J. David. "Maskless Projection Lithography". Scholarship @ Claremont, 2003. http://scholarship.claremont.edu/pomona_theses/17.
Texto completo da fonteSchmidt, Aaron Jerome 1979. "Contact thermal lithography". Thesis, Massachusetts Institute of Technology, 2004. http://hdl.handle.net/1721.1/27116.
Texto completo da fonteIncludes bibliographical references (p. 65-67).
Contact thermal lithography is a method for fabricating microscale patterns using heat transfer. In contrast to photolithography, where the minimum achievable feature size is proportional to the wavelength of light used in the exposure process, thermal lithography is limited by a thermal diffusion length scale and the geometry of the situation. In this thesis the basic principles of thermal lithography are presented. A traditional chrome-glass photomask is brought into contact with a wafer coated with a thermally sensitive polymer. The mask-wafer combination is flashed briefly with high intensity light, causing the chrome features heat up and conduct heat locally to the polymer, transferring a pattern. Analytic and finite element models are presented to analyze the heating process and select appropriate geometries and heating times. In addition, an experimental version of a contact thermal lithography system has been constructed and tested. Early results from this system are presented, along with plans for future development.
by Aaron Jerome Schmidt.
S.M.
Brodsky, Colin John. "Graft polymerization lithography". Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3024998.
Texto completo da fonteBaker, Mark. "Metastable Atom Lithography". Thesis, Griffith University, 2008. http://hdl.handle.net/10072/365477.
Texto completo da fonteThesis (PhD Doctorate)
Doctor of Philosophy (PhD)
School of Biomolecular and Physical Sciences
Faculty of Science
Full Text
Park, Jea Woo. "Lithography Hotspot Detection". PDXScholar, 2017. https://pdxscholar.library.pdx.edu/open_access_etds/3781.
Texto completo da fonteMeyers, Bernard C. "Nagual interpretations /". Online version of thesis, 1990. http://hdl.handle.net/1850/10953.
Texto completo da fonteWu, Xiao. "Fabrication of 1D, 2D and 3D polymer-based periodic structures by mass transport effect". Thesis, Cachan, Ecole normale supérieure, 2013. http://www.theses.fr/2013DENS0058/document.
Texto completo da fonteWe have theoretically and experimentally investigated the formation of both active and passive surface relief gratings on two kinds of photosensitive polymers: negative photoresist and azobenzene copolymer. The common mechanism of the structures formation was attributed to mass transport effect, which however pushes the materials in opposite directions in these two materials. The fabrication technique is based on the use of interference lithography, which allowed to create large and uniform structures. In the first case, 1D and 2D passive periodic surface relief structures were created on the negative photoresist SU8 thanks to the shrinkage effect during the crosslinking process. In the second case, 1D, 2D and 3D active periodic structures have been obtained thanks to the movement of DR1/PMMA copolymer materials from regions of high intensity to those of low intensity irradiation. The modulation amplitude of structures is optimized by controlling the film thickness, the structure periodicity, the exposure dosage, and the polarizations of interference laser beams. Applications of these structures for multiple wavelength DFB laser, nonlinear photonic crystals, and waveguide coupling have been discussed
Leonard, Anthony. "Développement d'une technologie hybride à base de microbilles pour la détection d'anticorps IgE : vers le diagnostic d'allergies". Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAY061/document.
Texto completo da fonteAllergies represent a major social issue for modern societies. Current diagnostic tools enables to measure the concentration of specific IgE antibodies responsible for allergic response into the patient’s serum. The use of nano-micro particles decreases diffusion distances in order to shrink the size of device, reduce the length of time reaction and improve diagnostic efficiency. In this perspective, the PhD work develop an innovative method to detect IgE antibodies by using both 10µm polystyrene (PS) and 1µm superparamagnetic (SPM) microbeads.The PhD explores the idea of using both PS microbeads as support of immunoassay and magnetic properties of SPM microbeads in order to perform a magnetic sorting of PS microbeads. We have developped this technology in 3 different technological steps. First, biofunctionnalization is used to link allergens and antibodies onto the surface of microbeads intended to react with serum patient. Then, the magnetic sorting has been developped to isolate PS microbeads depending on the presence / absence of IgE antibodies onto their surface. The efficiency of such a process is proportional to IgE quantity per microbeads. Finally, a self-assembly process of microbeads called « gravitational assembly » has been developped by studying sedimentation and capillary phenomenon. It has been shown that this complementary technology to the capillary assembly technology extend the scope of assembly to dense system of colloidal suspension. I addition, this technology reaches a detection limit of 2 microbeads per ml.Clinical trials have been succesfully performed from serum patient allergic to peanut, hazelnut or shrimp. The process time, detection limit and dynamic range of the detection system have been studied and optimized. The proof of concept of IgE antibodies detection have been presented and points the way towards the multiplex diagnosis of allergies
Chagniot, Claire. "Baudelaire et les estampes". Thesis, Paris 4, 2010. http://www.theses.fr/2010PA040242.
Texto completo da fonteThe invention of photography drastically changed the world of printing between 1850 and 1865. Lithography – though recent – and engraving were no longer the only means to reproduce paintings – etching came back to life. Since his first Salons, Baudelaire had been interested in these different techniques. Around 1859-1862, he became one of the key figures in the defense of original etching through his action and his articles in favour of Charles Meryon and the Société des Aquafortistes. After having bought antique paintings in his youth, Baudelaire built up a large collection of prints – in relation to his activity of art critic. Besides, his essay on laughter, the ones on French caricaturists and on foreign caricaturists, as well as his drafts of articles on “L’Art philosophique” and the “Peintres de mœurs” are entirely or partly inspired by prints. These texts gave Baudelaire the opportunity to raise issues of poetics and aesthetics, such as the position of the comic artist, the beauty of transience and triviality in art. Like his poems inspired by prints, they also show how the poet questions the meaning of images. The final part of this work is devoted to the history of frontispieces which Baudelaire wanted to use to illustrate several of his works with, and more particularly the one he planned to illustrate the second edition of Les Fleurs du mal with
Carau, Damien. "Amélioration des méthodes de contrôle dimensionnel et d'alignement pour le procédé de lithographie à double patterning pour la technologie 14 nm". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT072/document.
Texto completo da fonteIn microelectronics, the increase of component density is the main solution to improve circuit performance. The size of the patterns defined by lithography is reduced at each change of technology node. From the 14 nm node, optical lithography is facing the resolution limit for metal levels. In order to overcome this hurdle, metal levels are designed in two successive steps of patterning, which is composed of lithography followed by etching. This double patterning technique requires an appropriate metrology since overlay between the two steps and critical dimensions are directly linked. The developed method is based on scatterometry and overlay measurement by diffraction. Using a simulation code, the measurement targets have been designed optimally. Then the adopted method has been validated experimentally
Chen, Ying. "PATTERNING ELASTOMER, THERMOPLASTICS AND SHAPE MEMORYMATERIAL BY UVO LITHOGRAPHY AND SOFT LITHOGRAPHY". University of Akron / OhioLINK, 2017. http://rave.ohiolink.edu/etdc/view?acc_num=akron1491264216402058.
Texto completo da fonteColburn, Matthew Earl. "Step and flash imprint lithography : a low-pressure, room-temperature nanoimprint lithography /". Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3025205.
Texto completo da fonteMALAQUIN, Laurent. "Dispositifs ultra-sensibles pour le nano-adressage electrique. Application a la detection de biomolecules". Phd thesis, Université Paul Sabatier - Toulouse III, 2004. http://tel.archives-ouvertes.fr/tel-00009243.
Texto completo da fonteKim, Hyung-Jun. "Automation of soft lithography". Thesis, Massachusetts Institute of Technology, 2006. http://hdl.handle.net/1721.1/38290.
Texto completo da fonteIncludes bibliographical references (leaves 79-82).
This dissertation is a final documentation of the project whose goal is demonstrating manufacturability of soft lithography. Specifically, our target is creating micron scale patterns of resists on a 3 square inch, relatively large area in case of soft lithography, flexible substrate using microcontact printing in order to forming electronic circuit patterns for flexible displays. At first, the general principles and characteristics of soft lithography are reviewed in order to provide the snapshot of soft lithography technologies, and the key factors that affect the productivity and quality of microcontact printing are discussed because such factors should be understood in advanced to develop current lab-based microcontact printing science into plant manufacturing technology. We proposed a prototype for automated of microcontact printing process adopting a continuous reel-to-reel design, ideal for mass production, as well as printing-side-up design in order to minimize the distortion of relief features of PDMS stamp. The machine we created not only demonstrated the manufacturability of microcontact printing, our initial project goal, but also high scalability for mass production. The machine can print micron scale patterns on a 7 square inch plastic sheet, four times bigger than initial target area, at once.
by Hyung-Jun Kim.
M.Eng.
Tsai, Hsin-Yu Sidney. "Absorbance modulation optical lithography". Thesis, Massachusetts Institute of Technology, 2007. http://hdl.handle.net/1721.1/42253.
Texto completo da fonteThis electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.
Includes bibliographical references (p. 91-94).
In this thesis, the concept of absorbance-modulation optical lithography (AMOL) is described, and the feasibility experimentally verified. AMOL is an implementation of nodal lithography, which is not bounded by the diffraction limit of incident lights. Experimental results showed promising capability of AMOL and matched well with simulation. Several key elements of the AMOL system are discussed: the material systems of AMOL, limitations on the material and optical systems presented, and the design and fabrication of spiral phase elements that generate ring-shaped beams required by AMOL.
by Hsin-Yu Sidney Tsai.
S.M.
Shavdina, Olga. "Micro-nano-structuration de surface par renforcement local du flux électromagnétique". Thesis, Lyon, 2016. http://www.theses.fr/2016LYSES076/document.
Texto completo da fonteThis PhD thesis presents the theoretical and experimental results of the interaction between a plane wave and a monolayer of spherical / non-spherical submicron particles that are transparent to the optical field. Local amplification of the optical field under the particle can be observed. This amplification of electromagnetic field is called "photonic nanojet". A theoretical study of nanojet under the microparticles and the choice of optimal conditions, allowed us to exploit this nanojet as a micro-nano-structuring tool. A 2D periodic structuring of a TiO2-based photosensitive material deposited on various substrates was carried out by the colloidal photolithography technique. By using this TiO2-based photosensitive material, this approach makes possible in a single step to produce a functional layer. Finally, this thesis presents some opportunities to exploit the phenomenon of concentration of an incident wave by the microparticles. More precisely, this microstructuration can be used for optical trapping functions, for the localized growth of functional materials or for increasing the photocatalytic activity of active layers
Claveau, Guillaume. "Etude d’une lithographie ligne/espace innovante par auto-assemblage dirigé d’un copolymère à blocs pour la réalisation de dispositifs CMOS sub-20nm". Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT091/document.
Texto completo da fonteThere is a fixed limit to the maximum resolution the photolithography can provide in the context of the integrated circuit’s size reduction encouraged by the microelectronic industry. The Directed Self-Assembly (DSA) of bloc copolymers (BCP) can be used as a complementary technique enabling smaller critical dimensions of features (CD) obtained by density multiplication of initial, loose i193 lithography patterns. These materials can undergo specific phase separation to self-assemble into periodic, sub-20nm ordered nanostructures.Fast, cost-efficient and highly compatible with equipment and techniques already in use in the industry for line/space (L/S) applications, the different DSA processes found in literature still suffer from defectivity, roughness and CD uniformity (CDU) issues. Most successful solutions are made possible at the loss of some of the most appealing DSA features, mainly its compatibility with current i193 lithography. In this context, the work of this thesis studied and proposed innovative solutions to the problematics posed when using graphoepitaxy as the DSA complementary technique.This work presented therein - revolving around a 38nm period lamellar PS-b-PMMA material - first tried to comprehend the mechanisms involved in the self-assembly of lamellae in one of two environment: flat configuration and 3D, graphoepitaxy configuration. In the former, a study of the parameters dictating the morphology, orientation and defect levels of the BCP was performed. This provides a mean to optimize the kinetics of self-assembly to last less than five minutes while enabling stable and reproducible morphology. Materials optimization and atmosphere composition’s impact during annealing is also discussed. This initial knowledge is then used to perform the density multiplication of L/S guiding pattern using conventional optical lithography at Leti. The study of the lamellae morphology as a function of the multiples guiding patterns’ parameters (CD, interface chemistries, thickness levels…) provides fixed process windows (PW) for a stable process over a 300mm wafer. The shape and size of these PWs is further confirmed by a statistic study of defectivity and roughness metrics as defined by a specific metrology protocol developed during this thesis. This work has led to the publication of a paper.In an effort to demonstrate its relevance in the industry, full integration of this DSA process is carried out in pursuit of functional stacked nanowire (NW) transistors acquisition. First etching tests failed though, as they revealed unknown defective formation of the lamellae at the buried interface. The etching process Leti available at Leti proved enable to compensate for these local variations of transfer features. Consequently, a new iteration of the DSA process is presented. It consists in using UV light exposure to selectively shift the interfacial energies of the guiding patterns’ surfaces. A study of the shift in both the observed lamellae morphology and the composition of the material (followed by Infrared Spectroscopy) as a function of the UV dosage is performed. It identifies a photo-oxidation mechanism which can be finely tuned to independently promote defect-free alignment of the BCP lamellae with any of the guiding pattern surfaces. This work, currently awaiting publication, is further verified by the different etching steps achieving monocrystalline silicon nanowires of controlled dimensions. The associated transistors are now being submitted to electrical characterization. Full wafer uniformity of features is a work in progress however, as BCP thickness filling of guiding patterns is still highly dependent on their density
Sekkaki, Noureddine. "Etude theorique et experimentale de la nanolithographie par electrons". Toulouse 3, 1987. http://www.theses.fr/1987TOU30147.
Texto completo da fonteBhaswara, Adhitya. "Fabrication of suspended plate MEMS resonator by micro-masonry". Thesis, Toulouse 3, 2015. http://www.theses.fr/2015TOU30325/document.
Texto completo da fonteLately, transfer printing, a technique that is used to transfer diverse materials such as DNA molecules, photoresist, or semiconductor nanowires, has been proven useful for the fabrication of various static silicon structures under the name micro-masonry. The present study explores the suitability of the micro-masonry technique to fabricate MEMS resonators. To this aim, silicon microplates were transfer-printed by microtip polymer stamps onto dedicated oxide bases with integrated cavities in order to create suspended plate structures. The dynamic behavior of fabricated passive structures was studied under atmospheric pressure and vacuum using both external piezo-actuation and thermomechanical noise. Then, active MEMS resonators with integrated electrostatic actuation and capacitive sensing were fabricated using additional post-processing steps. These devices were fully characterized under atmospheric pressure. The intrinsic Q factor of fabricated devices is in the range of 3000, which is sufficient for practical sensing applications in atmospheric pressure and liquid. We have demonstrated that since the bonding between the plate and the device is rigid enough to prevent mechanical crosstalk between different cavities in the same base, multiple resonators can be conveniently realized in a single printing step. This thesis work shows that micro-masonry is a powerful technique for the simple fabrication of sealed MEMS plate resonators
Bazin, Damien. "Structuration de surfaces organiques et inorganiques par lithographie électro-colloïdale : principe et applications". Thesis, Bordeaux 1, 2012. http://www.theses.fr/2012BOR14684/document.
Texto completo da fonteMany lithography techniques have been developed to structure surfaces at the micrometer and sub-micrometer ranges. Among them, colloidal lithography is interesting because the process is inexpensive and does not require the use complex instruments. In this thesis, we have developed a new technique called « electro-colloidal lithography » which is based on the use of colloidal particles organized using alternating and direct electric fields. With short preparation times and inexpensive instruments, polymeric and metallic structured surfaces have been prepared and tested for different applications (protein immobilization, microelectrode arrays, superhydrophobic surfaces)
Sassine, Gilbert. "Etude du transport et du bruit dans les couches 2D de nanotubes de carbone". Thesis, Montpellier 2, 2012. http://www.theses.fr/2012MON20182/document.
Texto completo da fonteIn this thesis we have focused on the fabrication, the characterization, and the modeling of 2D films based on carbon nanotubes.In the first chapter, we have presented general informations on carbon nanotubes. Then we are interested in the nanotube-nanotube junctions and particularly the modeling of transport in different types of junction (M/M), (M/SC) and (SC/SC).In the second chapter we have presented a study of 2D films based on carbon nanotubes. At first we present the electrical transport in these structures strongly inhomogeneous, especially in describing the analytical models accounting for the percolation phenomenon both in the conductance and 1/f noise. The second part of the chapter is devoted entirely to the manufacture and physico-chemical characterization of 2D films.The main objective of the third chapter is the modeling of 2D films of carbon nanotubes. Compared to other models described in the literature, the model developed in this section is the only one that take into account the physical nature of each tube-tube junction (M/M) or (M/SC) or (SC/SC). Our model takes into account the junction nonlinearity. The numerical solution of the system is optimized: i) using the MNA technique whose principle is to linearize each dipole in the circuit. ii) parallelizing computations on a computer cluster of a hundred core. For the noise simulation, the same technique is used but in this case, we have used the adjoint network method. In the fourth chapter, we have, at first, presented and analyzed our experimental results for conductance and 1/f noise. Whatever the deposition conditions we always observed a percolation-like behavior of our results. We used the fitting parameters of the percolation laws to compare and analyze our results. It appears that the impact of the surfactant on the homogeneity of the solution is found in the electrical measurement results of deposited films. As for the influence of the density of the tubes, as expected, the conductance increases with the increase of nanotubes density. We noticed that the 1/f noise was much more sensitive to this parameter, with in particular a significant change in the noise percolation parameters revealed at high density of nanotubes. The second part of this chapter is dedicated to the simulation of the electrical parameters of our experimental structures. These parameters are adjusted on the basis of experimental results and are based on the nature of the surfactant. The results of these simulations for the conductance and 1/f noise agree with measurements and in all cases the macroscopic percolation laws are respected, which validate our models. To bring to the fore the deviation from the noise percolation law observed in films deposited from solution with a high density of surfactant, we have introduced in our simulated structures a number of clusters of nanotubes according to the density of the deposited layers. Once again we observed a good agreement with the experimental results allowing us to validate the presence of clusters of nanotubes in our deposited films
Gâté, Valentin. "Ecriture de motifs périodiques submicrométriques sur films TiO2 sol-gel par lithographie interférométrique dynamique sur de grandes surfaces". Phd thesis, Université Jean Monnet - Saint-Etienne, 2013. http://tel.archives-ouvertes.fr/tel-01073314.
Texto completo da fonteToublan, Olivier. "Les effets de proximité en microlithographie : caractérisation et études des méthodes de correction". Grenoble INPG, 1999. http://www.theses.fr/1999INPG0027.
Texto completo da fonteRius, Suñé Gemma. "Electron beam lithography for Nanofabrication". Doctoral thesis, Universitat Autònoma de Barcelona, 2008. http://hdl.handle.net/10803/3404.
Texto completo da fonteLa EBL se basa en la definición de motivos submicrónicos mediante el rastreo de un haz energético de electrones sobre una resina. La naturaleza de los electrones y el desarrollo the haces extremadamente finos y su control preciso establecen la plataforma ideal para los requerimientos de la Nanofabricación. El uso de la EBL para el desarrollo de un gran número de nanoestructuras, nanodispositivos y nanosistemas ha sido, y continúa siendo, crucial para las aplicaciones de producción de máscaras, prototipaje o dispositivos discretos para la investigación fundamental. Su éxito radica en la alta resolución, flexibilidad y compatibilidad de la EBL con otros procesos de fabricación convencionales.
El objetivo de esta tesis es el avance en el conocimiento, desarrollo y aplicación de la EBL en las areas de los micro/nanosistemas y la nanoelectrónica. El presente documento refleja parte del trabajo realizado en el Laboratorio de Nanofabricación del Instituto de Microelectrónica de Barcelona IMB-CNM-CSIC durante los últimos cinco años. Debido a la falta de experiencia previa en el IMB en la utilización de la EBL, ha sido necesario el desarrollo y consolidación de una serie de procesos, lo que ha condicionado parcialmente la investigación, tal y como recoge la memoria.
Entre los aspectos relevantes compilados en esta tesis, en cuanto a innovación tecnológica, cabe destacar diversos avances en procesos tecnológicos basados en la EBL. Una nueva resina de tono negativo ha sido caracterizada y disponible para su uso en nanofabricación. La optimización de la EBL se ha llevado a cabo mediante métodos de corrección del efecto de proximidad. Se ha establecido el proceso de integración de estructuras nanomecánicas en circuitos CMOS, así como la fabricación de dispositivos basados en nanotubos de carbono. En concreto, el primer FET basado en un sólo nanotubo de carbono fabricado en España. Finalmente, la compatibilidad y viabilidad de los métodos de fabricación basados en haces de partículas se ha estudiado mediante el análisis del efecto de los haces de partículas cargadas sobre dispositivos. Por otro lado, esta memoria no sólo contiene la descripción de los principales resultados obtenidos, sinó que pretende aportar información general sobre procesos de nanofabricación basados en haces de electrones para ser utilizados en futuras investigaciones de este area.
Electron beam lithography (EBL) has consolidated as one of the most common techniques for patterning at the nanoscale meter range. It has enabled the nanofabrication of structures and devices within the research field of nanotechnology and nanoscience.
EBL is based on the definition of submicronic features by the scanning of a focused energetic beam of electrons on a resist. The nature of electrons and the development of extremely fine beams and its flexible control provide the platform to satisfy the requirements of Nanofabrication. Use of EBL for the development of a wide range of nanostructures, nanodevices and nanosystems has been, and continues to be, crucial for the applications of mask production, prototyping and discrete devices for fundamental research and it relies on its high resolution, flexibility and compatibility with other conventional fabrication processes.
The purpose of this thesis is to advance in the knowledge, development and application of electron beam lithography in the areas of micro/nano systems and nanoelectronics. In this direction, this memory reflects part of the work performed at the Nanofabrication Laboratory of the IMB-CNM. Since there was no previous experience on EBL at CNM, the need for developing a set of processes has determined partially the work.
The variety of topics that concern to nanoscience and nanotechnology is enormous. Chapter 1 briefly sintetizes nanoscale related aspects. This section aims to frame the contents of this thesis, coherently. Also for completeness, it is intended to address the specific subjects under discussion or contained in the following chapters and it is based or oriented to the experimental results that will be presented.
Chapter 2 is a general overview of the EBL technique from the point of view of the system and the physical interaction of the process. In particular, the characteristics of the SEM and specifications of the lithographic capabilities of the system that is used are presented.
In chapter 3, irradiation effect on resists is studied. The chemical behaviour of different polymeric materials is correlated with theoretical simulations for two types of resists: methacrylic based positive resists and epoxy based negative resists. The first is used for validation of the modelization and to describe the general performance of EBL on different conditions. The second covers the experiments oriented to establish the performance parameters of a new resist and comparison with another existing negative electron beam resist. Proximity effect correction concludes with the correlation of theory and experimental results for both types of resists, positive and negative.
Chapter 4 is an example of the fabrication and optimization of a micro/nanosystem for sensing at the nanoscale. In particular, nanoresonators are developed with two approaches (EBL and FIB) and enhanced response is achieved by their integration on CMOS circuitry.
Chapter 5 presents carbon nanotube (CNT) based devices that are realized and implemented for applications in nanoelectronics and sensing. First, different fabrication approaches for contacting CNTs are discussed. Then, the results of electrical characterization of the devices are presented. Finally, technology development for the use of these devices for sensing is established.
The last chapter embraces all the previous sections and pays attention to the effect of electron beam on the devices. In particular, electron induced effect is studied on nanomechanical structures integrated in circuits and CNT based devices, in order to evaluate EBL based fabrication, SEM characterization or more fundamental aspects. Advanced characterization techniques are used together with simulations, both assessing a deeper understanding of the results. Electrical measurements and AFM based techniques are used to characterise the effect of the electron irradiation by changes in their performance characteristics, charging, surface potential imaging, etc.
Main results and solved challenges are summarized in the conclusive chapter 7 that finishes with this document.
Harris, Lee George. "Physicochemical lithography of functional nanolayers". Thesis, Durham University, 2006. http://etheses.dur.ac.uk/9358/.
Texto completo da fonteHubbard, Graham John. "Nanoimprint lithography using disposable masters". Thesis, University of Bath, 2011. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.576992.
Texto completo da fonteKonijn, Mark. "Multilevel Nanoengineering for Imprint Lithography". Thesis, University of Canterbury. Electrical and Computer Engineering, 2005. http://hdl.handle.net/10092/1071.
Texto completo da fonteYoung, Richard James Hendley. "Electroluminescent devices via soft lithography". Thesis, Brunel University, 2017. http://bura.brunel.ac.uk/handle/2438/17139.
Texto completo da fonteFarhoud, Maya S. (Maya Sami). "Interferometric lithography and selected applications". Thesis, Massachusetts Institute of Technology, 1997. http://hdl.handle.net/1721.1/10457.
Texto completo da fonteLiu, Dixi. "NEMS by sidewall transfer lithography". Thesis, Imperial College London, 2015. http://hdl.handle.net/10044/1/31868.
Texto completo da fonte