Siga este link para ver outros tipos de publicações sobre o tema: Lithographs.

Teses / dissertações sobre o tema "Lithographs"

Crie uma referência precisa em APA, MLA, Chicago, Harvard, e outros estilos

Selecione um tipo de fonte:

Veja os 50 melhores trabalhos (teses / dissertações) para estudos sobre o assunto "Lithographs".

Ao lado de cada fonte na lista de referências, há um botão "Adicionar à bibliografia". Clique e geraremos automaticamente a citação bibliográfica do trabalho escolhido no estilo de citação de que você precisa: APA, MLA, Harvard, Chicago, Vancouver, etc.

Você também pode baixar o texto completo da publicação científica em formato .pdf e ler o resumo do trabalho online se estiver presente nos metadados.

Veja as teses / dissertações das mais diversas áreas científicas e compile uma bibliografia correta.

1

Frazer, Patrick. "Thomas Way and T.R. Way : commercial and artistic lithographers". Thesis, University of Reading, 2001. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.365848.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
2

Benoit-Renault, Viviane. "La lithographie en Bretagne (1819-1914)". Thesis, Paris 4, 2014. http://www.theses.fr/2014PA040217.

Texto completo da fonte
Resumo:
Dans l’histoire de l’estampe, l’étude de la lithographie en province a longtemps été négligée et les premierstravaux fondateurs datent seulement d’une quarantaine d’années. L’objet de cette thèse en histoire de l’art est decombler cette lacune en analysant, dans un esprit d’interdisciplinarité ouvert à l’histoire économique et sociale, lalithographie en Bretagne historique de 1819 à 1914.Cette recherche s’appuie d’abord sur l’étude de l’imprimerie lithographique. Après un panorama généralsur l’évolution du nombre d’ateliers et leur répartition géographique, les centres lithographiques principaux etsecondaires sont étudiés. L’imprimerie autographique qui, en parallèle, s’implante et concurrence les atelierslithographiques est analysée, comme les imprimeries lithographiques sur fer-blanc, une particularité bretonne.Au-delà de cette étude historique, le chapitre suivant dresse le portrait des acteurs de l’imprimerie et de laproduction lithographique comme leur environnement social. C’est un monde où se mêlent l’artiste peintre,l’artiste lithographe de profession, l’amateur de dessin, l’imprimeur et l’ouvrier. La réalité du monde de l’estampeest abordée dans le troisième chapitre, avec un coup de projecteur sur l’atelier et sur l’histoire matérielle de lalithographie (presses et matrices). L’atelier est ensuite appréhendé comme un espace de vie autonome avec sesfêtes et ses codes, garants de la cohésion ouvrière. Une unité renforcée avec la création des syndicats delithographes dans la seconde moitié du XIXe siècle.Grâce à l’établissement d’un inventaire des lithographies, fondé sur le dépouillement du dépôt légal etdes fonds publics d’estampes, l’analyse de la production lithographique imprimée en Bretagne révèle unediversité thématique insoupçonnée. La lithographie artistique à la feuille, en recueils ou en albums illustrés estanalysée sous l’angle stylistique et iconographique. Enfin, l’étude de la lithographie utilitaire et des boîtes en ferblanclithographié clôt ce chapitre. Le commerce de l’estampe, qui constitue le dernier maillon du circuit de laproduction, est retracé avec ses marchands, une profession en mutation au XIXe siècle, ses colporteurs et autresvendeurs occasionnels. Enfin, la question de la diffusion de l’estampe en Bretagne est abordée comme le marchéet la place de la lithographie bretonne dans un réseau national et international
In the history of print, the study of lithography in province has long been neglected. The first founding worksonly date back to the last forty years. The purpose of this thesis on the history of art is to address this shortcomingby analysing lithography in historical Brittany between 1819 and 1914 bearing an interdisciplinarity mind open toeconomic and social history.Initially this research will be based on the study of lithographic printing. Following a general overviewon the evolution of the number of workshops and their geographical breakdown, leading and secondarylithographic centres are being considered. On the other hand, autographic printing which established itself andcame into competition with the lithographic workshops is being analysed with an emphasis on tin-plate printingworkshops being a characteristic feature of Brittany. Beyond this historical study, the following chapter paints aportrait of the printers and that of the lithographic production scene which being a social environment. It is aworld whereby the painter mingles with the professional lithographer, the drawing lover, the printer and thecraftsman. The reality of the printing world is being addressed in the third chapter with a particular focus on theworkshop and the history of the equipment specific to printing (plates and moulds). Subsequently the workshop isseen as a world in its own right with its celebrations and codes responsible for the working cohesion. This unitywill be accentuated in the second half of the XIX century with the constitution of lithographers unions. Thanksto the creation of a lithographs inventory drawn upon the austerity regarding registration of copyright and printpublic funds, the analysis of the print production in Brittany reveals an unexpected thematic diversity. The artisticprint on sheet, collections or illustrated albums is analysed from a stylistic and iconographic point of view.Finally, the study of useful lithography and the tin-box will bring this chapter to a close.Print trade which formsthe last link in the production chain is recounted through its merchants, a trade being transformed throughout XIXcentury, door-to-door and casual sellers. Lastly, the topic of the diffusion of print in Brittany is put forward asbeing the market place of Breton lithography within a national and international network
Estilos ABNT, Harvard, Vancouver, APA, etc.
3

Monroe, Julia Boyette. ""Modern Primitive: Parody, Ambivalence, and Paradox in Paul Colin's Le Tumulte Noir"". VCU Scholars Compass, 2014. http://scholarscompass.vcu.edu/etd/624.

Texto completo da fonte
Resumo:
This thesis analyzes Paul Colin’s 1927 portfolio of lithographs entitled Le Tumulte Noir as an expression of the simultaneously progressive, celebratory, racist, and colonialist ideas about jazz music, dance, and blackness in Paris during the 1920s. Because the portfolio often demonstrates conflicting tropes for representing people of African American descent, for example minstrelsy vs. New Negro imagery, this thesis uses several methods for investigating the ambivalence of the artwork and the culture in which it was produced. The double-coding of meaning presented by parody, calligrams, and self-division are central to this analysis of Colin’s representations of the “Charlestonesque epidemic” in Jazz Age Paris. Images from Le Tumulte Noir are nearly ubiquitous in literature on the Parisian Jazz Age, and this thesis contextualizes the form, content, and iconography of the lithographs in light of the social and artistic history of 1920s Paris.
Estilos ABNT, Harvard, Vancouver, APA, etc.
4

Hauser, Hubert [Verfasser], e Holger [Akademischer Betreuer] Reinecke. "Nanoimprint lithography for solar cell texturisation = Nanoimprint Lithographie fuer die Solarzellentexturierung". Freiburg : Universität, 2013. http://d-nb.info/1123476160/34.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
5

Caillau, Mathieu. "Nanotechnologie verte : des polymères de la biomasse comme résines éco-efficientes pour la lithographie". Thesis, Lyon, 2017. http://www.theses.fr/2017LYSEC037/document.

Texto completo da fonte
Resumo:
La lithographie est une étape clé de micro/nanotechnologie pour la fabrication de composants utilisés dans les domaines de la microéléctronique, de l’électronique flexible, de la photonique, du photovoltaïque, de la microfluidique... Cette étape de lithographie nécessite l’utilisation d’une résine inscriptible servant de masque temporaire permettant le transfert de motifs dans le matériau sous-jacent par gravure ou par déposition de nouveaux matériaux. La lithographie fait appel à des résines organiques mais aussi à des solvants organiques et des produits chimiques corrosifs et nocifs, ce qui va à l’encontre des problématiques environnementales et qui engendre des coûts supplémentaires liés à la gestion des risques et des déchets. De plus le contexte réglementaire (REACh ou US pollution act) évolue vers une plus grande protection de l’environnement et de la santé humaine et encourage l’utilisation de produits alternatifs. Dans ce contexte, mon projet de thèse visait à développer une résine biosourcée, non modifiée par des procédés de chimie de synthèse et développable dans l’eau. Cette résine devait être compatible avec les instruments de lithographies conventionnelles. Lors de ce travail, il a été démontré que le chitosane était une résine de tonalité positive permettant la réalisation d’un procédé complet de lithographie/gravure avec uniquement de l’eau comme solvant, sans modification du chitosane et sans l’utilisation de masque additionnel. Des motifs de 50 nm ont été obtenu dans la silice après lithographie électronique et gravure plasma et des motifs de 0.5 à 0.3 μm après photolithographie et gravure
. Lithography is a key step in micro / nanotechnology with applications in the fields of microelectronics, flexible electronics, photonics, photovoltaics, microfluidics and biomedical. This lithography step requires the use of a writable resist to act as a temporary mask for transferring patterns in the underlying material by etching or deposition. Nowadays, lithography uses synthetic organic resin, organic solvents and hazardous chemicals which is contrary to environmental issues and generates additional costs associated with risk and waste management. Furthermore, regulation rules (REACh, US pollution act) tend to move toward the protection of human health and the environment from the risks that can be posed by chemicals and promote alternative chemicals. In this context, this PhD work aimed at replacing conventional synthetic organic resist with a biopolymer. This biopolymer will not be modified by synthetic organic chemistry, will be compatible with conventional lithography instruments and it should be developable in water. It was demonstrated that chitosan was a positive tone resist allowing accomplishing a complete lithography-etching process. The whole process was performed in aqueous solution without the use of hazardous chemicals. 50 nm features were obtained after ebeam lithography/plasma etching into a silica layer without the use of an additional masking layer. 0.3-0.5 μm feature were obtained using photolithography
Estilos ABNT, Harvard, Vancouver, APA, etc.
6

Kadiri, Hind. "Auto-organisation assistée pour la nanoimpression à grande échelle et surfaces optiques multifonctionnelles". Thesis, Troyes, 2018. http://www.theses.fr/2018TROY0001.

Texto completo da fonte
Resumo:
La nanostructuration de surface à grande échelle est un enjeu majeur des nanotechnologies et conditionne les réponses qu’elles peuvent apporter à divers défis sociétaux (santé, énergie et environnement). Il est cependant nécessaire de développer des techniques de structuration complémentaires ou alternatives simples et moins couteuses comparées à celles utilisées en microélectronique. La lithographie par nano-impression (NIL) apparait de plus en plus comme la solution pour nano-structurer des surfaces ou couches minces dans le but de donner aux matériaux de nouvelles fonctionnalités. Si elle est compatible « grande surface », la difficulté est reportée sur la fabrication des outillages pour la mettre en œuvre.L’objectif de cette thèse CIFRE en collaboration avec l'entreprise SILSEF était de développer une technologie originale de fabrication de moules nanométriques de grande taille avec comme difficulté majeure de réaliser des structurations sur de grandes surfaces et à bas coût.Deux objectifs scientifiques ont été poursuivis et atteints : 1) Développer la fabrication de moules à grande échelle (20x20 cm2) avec une gamme de structures simples et complexes. Ces structures ont été réalisées en combinant trois techniques : la lithographie colloïdale, la gravure plasma et/ou la technique d’évaporation. 2) Valider directement ou indirectement les moules pour la nanoimpression. Trois applications ont été adressées, l’extraction de photons dans des cristaux scintillateurs, le traitement antireflet (vis-IR) et la mouillabilité dans un contexte de surfaces optiques multifonctionnelles
Large scale nanostructuring is one of the major issues in nanotechnology and a bottleneck for addressing numerous societal issues (health, energy and environment). However, conventional lithographic methods used in microelectronics are becoming extremely expensive and complex especially when large scale nanostructuring is necessary. Therefore alternative cost-effective and easy to use nanolithography methods need to be developed.NanoImprint Lithography (NIL) is a very powerful method for fast and large scale nanostructuring provided the molds are available. In this study in collaboration with SILSEF, we developed a new and original nanopatterning technology at large scale and at low cost compared to other lithographic methods.Two scientific goals were tackeld: 1) development of a new method for manufacturing molds with different sizes of simple and complex structures and at large scale (20x20 cm2). Molds were obtained by using colloidal lithography combined with Reactive Ion Etching (RIE) and/or physical vapor deposition. 2) direct and indirect functional validations by self-organization for micro / meso and nanoimprint. Three applications have been addressed; enhanced light extraction efficiency of scintillating crystals, antireflection (vis and IR) and wettability in a context of multifunctional optical surfaces
Estilos ABNT, Harvard, Vancouver, APA, etc.
7

Mehrotra, Prateek. "High Aspect Ratio Lithographic Imaging at Ultra-high Numerical Apertures: Evanescent Interference Lithography with Resonant Reflector Underlayers". Thesis, University of Canterbury. Electrical and Computer Engineering, 2012. http://hdl.handle.net/10092/6935.

Texto completo da fonte
Resumo:
A near-field technique known as evanescent interferometric lithography allows for high resolution imaging. However its primary limitation is that the image exponentially decays within the photoresist due to physical limits. This thesis aims to overcome this limitation and presents a method to considerably enhance the depth of focus of images created using evanescent interferometric lithography by using a material underlay beneath the photoresist. A key enabler of this is the understanding that evanescent fields couple to surface states and operating within proximity of a resonance, the strength of the coupling allows for considerable energy extraction from the incident beam and redistribution of this energy in a photoresist cavity. This led to the analysis of the Fresnel equations, which suggested that such coupling was in fact the result of an enhanced reflectance that takes place at boundaries of carefully chosen materials. While it is known that metals and lossy dielectrics result in surface plasmon polaritons (SPP) and surface exciton polaritons (SEP) as conventional solutions to the Fresnel reflection equations for the TM polarization of light, there is no such naturally occurring surface state that allows evanescent wave enhancement with the TE polarization of light. Further investigation of the Fresnel reflection equations revealed both for TM and TE that in fact another solution exists that is but unconventional to enhance the reflectivity. This solution requires that one of the media have a negative loss. This is a new type of surface resonance that requires that one of the media be a gain medium; not one in the optical pumped sense but one that would naturally supply energy to a wave to make it grow. This new surface resonance is also a key result of this thesis. Clearly, however this is only a hypothetical solution as a real gain medium would violate the conservation of energy. However, as it is only the reflectance of this gain medium that is useful for evanescent wave enhancement, in fact a multilayered stack consisting of naturally occurring materials is one way to achieve the desired reflectivity. This would of course be only an emulation of the reflectivity aspect of the gain medium. This multilayered stack is then an effective gain medium for the reflectivity purposes when imaging is carried out at a particular NA at a particular wavelength. This proposal is also a key idea of this thesis. At λ = 193 nm, this method was used to propose a feasible design to image high resolution structures, NA = 1.85 at an aspect ratio of ~3.2. To experimentally demonstrate the enhancements, a new type of solid immersion test bed, the solid immersion Lloyd's mirror interference lithography test-bed was constructed. High quality line and space patterns with a half-pitch of 55.5 nm were created using λ = 405 nm, corresponding to a NA of 1.824, that is well in the evanescent regime of light. Image depths of 33-40 nm were seen. Next, the evanescent image was coupled to an effective gain medium made up of a thin layer of hafnium oxide (HfO) upon silicon dioxide (SiO2). This resulted in a considerable depth enhancement, and 105 nm tall structures were imaged. The work in this thesis details the construction of the solid immersion lithography test-bed, describes the implementation of the modeling tools, details the theory and analysis required to achieve the relevant solutions and understanding of the physical mechanism and finally experimentally demonstrates an enhancement that allows evanescent interferometric lithography beyond conventional limits.
Estilos ABNT, Harvard, Vancouver, APA, etc.
8

Lakcher, Amine. "Nouvelles perspectives de métrologie dimensionnelle par imagerie de microscope électronique pour le contrôle de la variabilité des procédés de fabrication des circuits intégrés". Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT052/document.

Texto completo da fonte
Resumo:
Dans les noeuds technologiques avancés ainsi que les technologies dérivées, des règles de dessin de plus en plus aggressives sont nécessaires. Cela conduit à une complexification des structures dans les circuits intégrés actuels. De telles structures posent un défi important aux procédés de fabrication, notamment les étapes dites de patterning que sont la lithographie et la gravure. Afin d'améliorer et d'optimiser ces structures, les designers se basent sur les règles et connaissances qu’ont les ingénieurs de leurs procédés. Ces règles ont besoin d'être alimentées par des informations dimensionnelles et structurelles de plus en plus complexes : configurations de type bord arrondi, distance entre deux bouts de lignes, rétrecissement de ligne, etc. La métrologie doit évoluer afin que les ingénieurs soient capables de mesurer et quantifier les dimensions des structures les plus complexes dans le but d'estimer la variabilité de leur procédé. Actuellement la variabilité est principalement estimée à partir de données issues du suivi en ligne de structures simples car elles sont les seules à garantir une mesure robuste et reproductible. Mais, elles peuvent difficilement être considérées comme représentatives du procédé ou du circuit. Utiliser la métrologie par CD-SEM pour mesurer des structures complexes de manière robuste est un défi technique. La création de recettes de mesures est complexe, nécessite un temps non négligeable et ne garantit pas une mesure stable. Cependant, une quantité importante d'informations est contenue dans l'image SEM. Les outils d'analyses fournis par les équipementiers permettent aujourd'hui d'extraire les contours SEM d'une structure présente dans l’image. Ainsi, le CD-SEM prend des images et la partie métrologie est réalisée hors ligne afin d'estimer la variabilité. Cette thèse vise à proposer aux ingénieurs de nouvelles possibilités de métrologie dimensionnelle afin de l’appliquer pour le contrôle des structures les plus complexes. Les contours SEM sont utilisés comme source d’information et exploités pour générer de nouvelles métriques
In advanced technological nodes as well as derived technologies, aggressive design rules are needed. This leads to a complexity of structures in the current integrated circuits. Such structures pose a significant challenge to chip manufacturing processes, in particular patterning steps of lithography and etching. In order to improve and optimize these structures, designers need to rely on the rules and knowledge that engineers have about their processes. These rules need to be fed by complex dimensional and structural information: corner rounding, tip to tip distances, line end shortening, etc. Metrology must evolve so that engineers are able to measure and quantify the dimensions of the most complex structures in order to assess the process variability. Currently the variability is mainly quantified using data from the inline monitoring of simple structures as they are the only ones to guarantee a robust and reproducible measurement. But, they can hardly be considered as representative of the process or the circuit. Using CD-SEM metrology to measure complex structures in a robust way is a technical challenge. The creation of measurement recipes is complex, time consuming and does not guarantee a stable measurement. However, a significant amount of information is contained in the SEM image. The analysis tools provided by the equipment manufacturers allow to extract the SEM contours of a structure present in the image. Thus, the CD-SEM takes images and the metrology part is performed offline to estimate the variability.This thesis offers engineers new possibilities of dimensional metrology in order to apply it for process control of complex structures. SEM contours are used as a source of information and used to generate new metrics
Estilos ABNT, Harvard, Vancouver, APA, etc.
9

Bouanani, Shayma. "Vers l'industrialisation de l'auto-assemblage dirigé des copolymères à blocs : développement de procédés de lithographie compatibles avec les noeuds technologiques sub-10 nm pour des applications de type contacts". Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT053/document.

Texto completo da fonte
Resumo:
La course à la compétitivité que se disputent les industriels du semi-conducteur implique d’augmenter le nombre de fonctionnalités par puce ainsi que de réduire leur coût unitaire, ce qui se traduit par une diminution continue de leur taille. Pour ce faire, le DSA (Directed Self-Assembly), ou auto-assemblage dirigé des copolymères à blocs associe les techniques de lithographie conventionnelle avec les propriétés d’organisation à l’échelle moléculaire des copolymères. Dans ce cadre, l’objectif global de cette thèse est d’évaluer le potentiel d’industrialisation du DSA par grapho-épitaxie pour des applications de type « shrink » et « multiplication » de contacts. Il s’agit en particulier de démontrer la capacité de cette technique à répondre au cahier des charges de l’ITRS en termes d’uniformité de CD, de désalignement et de taux de défauts. Une première étude concernant le « shrink de contact », basée sur l’impact des propriétés matériaux, d’affinité de surface et de tailles de guides permet de comprendre les mécanismes qui rentrent en jeu dans l’apparition de défauts d’assemblage. Une seconde partie de l’étude porte sur la multiplication de contact. Pour adresser cette application, deux types de guides ont été étudié : les guides elliptiques et les guides complexes dits « peanut ». L’étude de la fenêtre de procédé en termes de paramètres procédé comme le temps et la température de recuit, mais aussi de commensurabilité, a été menée. Une attention particulière a été portée sur l’impact de la variation du guide sur le pitch final obtenu en DSA, dont les données expérimentales ont été corrélées avec des résultats de simulation. Les critères de réussite sont basés sur les performances lithographiques qu’il faut juger à travers une métrologie de pointe. Le développement d’une métrologie spécifique pour mesurer l’erreur de placement des contacts ainsi que leur pitch a été conduite
The competitiveness-chasing in which industrial manufactures are involved, leads to an exponential increase in the number of functionalities per chips, as well as reducing their unit cost, which results in a continuous decrease of their size. To achieve this, DSA (Directed Self-Assembly) of block copolymers, combines conventional lithography techniques with the molecular-scale organizational properties of copolymers. In this framework, the overall objective of this thesis is to evaluate the industrialization potential of the DSA process by graphoepitaxy for contact hole shrink and contact multiplication applications. In particular, it is necessary to demonstrate the ability of this technique to meet the ITRS specifications in terms of CD uniformity, misalignment and hole open yield. A first study on contact shrink, based on the impact of material properties, surface affinity and guiding feature size, allows us to understand the mechanisms involved in the appearance of defects. A second part of the study deals with contact multiplication. To address this application, two types of guides have been studied: elliptical guiding patterns and more complex ones called "peanut". The study of the process window in terms of process parameters such as annealing time and temperature, but also commensurability was conducted. Particular attention was paid to guide size variation and its impact on DSA final pitch. Experimental data from this study were correlated with simulations. The success criteria are based on the lithographic performances that must be judged through advanced metrology. The development of a specific metrology to measure the placement error of contacts as well as their pitch was conducted
Estilos ABNT, Harvard, Vancouver, APA, etc.
10

Armeanu, Ana. "Simulation électromagnétique utilisant une méthode modale de décomposition en ondelettes". Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00721767.

Texto completo da fonte
Resumo:
La scattéromètrie requiert le calcul de la réponse optique de structures périodiques. Parmi les méthodes numériques de calcul électromagnétique de la diffraction par des réseaux, la méthode la plus couramment utilisée est la Méthode Modale de Fourier (FMM). Celle cis'avère peu efficace pour la caractérisation de structures très isolées ou très denses et peut même ne pas marcher du tout. L'objectif de cette thèse est de dépasser les limitations de la FMM. Nous restons dans le cadre des méthodes modales mais nous explorons de nouvelles voies en utilisant des bases de développement différentes qui ne présentent pas les inconvénients des bases de Fourier. Tout d'abord, nous avons introduit les fonctions B-spline qui sont le premier pas vers l'analyse multi-résolution avec les ondelettes splines. Nous avons formulé le problème de la diffraction par un réseau 1D comme un problème aux valeurs propres que nous avons résolu numériquement à l'aide de la méthode de Galerkin. Nous avons étudié en détail l'importance de la discrétisation par rapport aux discontinuités de la fonction permittivité. Ensuite, nous avons introduit les ondelettes et l'analyse à plusieurs niveaux de détails pour le problème de diffraction. La thèse contient une palette variée d'exemples numériques concernant des réseaux diélectriques et métalliques. Nous avons comparé soigneusement la convergence de nos méthodes avec celle d?autres méthodes, notamment avec la FMM. Nous avons montré que l'analyse multirésolution permet de traiter des cas pour lesquels la FMM échoue.
Estilos ABNT, Harvard, Vancouver, APA, etc.
11

Spaziani, Nicolas. "Détermination simultanée de la mise au point et de la dose d'un équipement de micro-lithographie optique". Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENT125/document.

Texto completo da fonte
Resumo:
Les dimensions critiques des circuits intégrés diminuent continuellement au coursdes ans selon la loi de Moore. Les valeurs typiques sont aujourd’hui de 28nm,et seront de 22nm dans 18 mois. La photo-lithographie optique demeure encore latechnique la plus économique pour la production de masse. L’ouverture numériquedes objectifs atteint 1.30, grâce à l’introduction d’eau entre la lentille et la plaquette.La conséquence directe de ces grandes ouvertures est la réduction de la profondeurde champs de l’ordre d’une centaine de nanomètres. Le procédé photo-lithographiqueperdant de la latitude, le contrôle dimensionnel intra-cellule devient une nécessité.La variation dimensionnelle provient au premier ordre à la fois de la variation duplan focal dans le champ image, et aussi de la non uniformité de l’illumination duréticule. Pour contrôler cette variation, une boucle de régulation a été mise en placepour ajuster uniquement l’énergie des lots de production. On corrige ainsi de fait unmauvais focus par une compensation en énergie.Pour ne pas altérer l’image dans la résine, il est important de pouvoir dissocierles deux effets et adresser les causes de dégradation de l’image séparément. Le sujetde cette thèse est précisément de trouver un moyen de décorréler les deux paramètresaffectant l’uniformité de la dimension critique. L’idée principale est de trouver à lafois les motifs et les modèles théoriques pouvant conduire à discriminer des imagesselon leur sensibilité, soit au focus, soit à la dose
Following the ITRS roadmap, the critical dimension of the circuits are continuouslynarrowing. Optical Lithography still remains the cheapest way forintegrated circuits mass production. If the resists properties and the exposure wavelengthreduction had an important contribution to this result, the lens numericalaperture increase had a decisive impact. The numerical aperture is currently reaching1,30 thanks to the usage of water as immersion fluid between the lens andthe wafer. Future lens are targeting in a near future a 1,70 numerical aperture withimmersion fluids at higher refractive index. A direct consequence of these wider numericalaperture’s is the reduction of the depth of focus to few tens of nanometers,reducing the process windows and then the integrated circuits manufacturability. Inaddition the pure numerical aperture effect on focus, off axis illumination is leadingto amplify the reticle critical dimension variations, and the intrafield focus controlbecomes more and more crucial.The last scanner generation provides some tools to adjust the intrafield energy.As the two effects appear to compensate each of them critical dimension variation,it becomes very important to be able to dissociate the effect of one from the otherin order to select the most efficient mean to get the greater process windows. Moreover, the average value compensations must feed accurately the Run to Run feedback loop for the next exposed wafers.The purpose of this thesis is to find a way to un-correlate the various parametersaffecting the critical dimension uniformity. Some researchers tried to design specificfeatures whose shape modifications due to focus offset could be detected as an overlayerror measured by the appropriate tool, but the limitations seem to be actuallyreached. New tools, as scatterometers, could provide a more precise information.The desire output of this thesis would be to provide a methodology to allow an inline intrafield focus follow up for the future technologies at 20nm half pitch
Estilos ABNT, Harvard, Vancouver, APA, etc.
12

Alleaume, Clovis. "Etude de la modification de la source dans l'utilisation de la méthode de co-optimisation source masque en lithographie optique : mise en oeuvre et applications". Thesis, Saint-Etienne, 2014. http://www.theses.fr/2014STET4007/document.

Texto completo da fonte
Resumo:
Réalisée entre décembre 2009 et décembre 2012 au sein de STMicroelectronics Crolles dans l’équipe RET (résolution enhancement techniques), et en partenariat avec le laboratoire Hubert Curien Saint Etienne de l’université de Lyon, cette thèse s’intitule "Impact de la modification de la source dans l’utilisation de la méthode de cooptimisation masque source en lithographie optique, et application au nœud technologique 20 nm". Durant cette étude, nous avons pu étudier la technique d’optimisation de la source optique en lithographie, appelée généralement SMO afin de l’appliquer aux problématiques de l’industrie. Une première partie du manuscrit traitant de la lithographie optique permettra de mieux comprendre les problématiques liées à cette étude, en présentant les techniques utilisées. En effet, afin de permettre à la lithographie optique de continuer la miniaturisation des composants de microélectronique, il est nécessaire d’optimiser au maximum de nombreux éléments de la lithographie. La forme de la source optique utilisée n’échappe pas à cette règle et l’utilisation de sources étendues, hors axe et plus ou moins complexe permet aujourd’hui la production des technologies de pointes. Une seconde partie s’attardera plus sur l’optimisation de la source à proprement parler. Dans un premier temps, la théorie de la diffraction sera étudiée afin de permettre une meilleure compréhension du problème. Des simulations et des mesures SEM ou microscope électronique à balayage seront présentées pour montrer l’efficacité de la méthode SMO, de l’anglais "Source Mask Optimization". Cette étude donnant lieu au développement de nouvelles méthodes rapides et innovantes d’optimisation de la source, l’étude prendra soin de présenter des résultats obtenus dans le cadre de cette thèse. Ainsi, la méthode de SMO interne basée sur le phénomène de diffraction et créée durant cette thèse sera présentée dans cette étude et les résultats en découlant seront étudiés. L’application de l’optimisation de la source à des problématiques industrielles sera également présentée à travers différentes applications des solutions proposées. Finalement, un legs de connaissance nécessaire sera effectué par la présentation des différents outils développés durant cette thèse. Une troisième partie concernera l’étude de l’outil Flexray permettant la génération des sources optimisées. La thèse ayant donné lieu à une nouvelle technique de décomposition de la source en polynôme de Zernike, cette techniques sera présentée ici. Elle sera ensuite utilisée pour modéliser la dégradation d’une source, ainsi que pour corréler la différence de source avec la divergence du modèle empirique de simulation. L’étude des sources a été mise en place suivant un aspect industrielle, afin de contrôler l’évolution du scanner de façon rapide. De plus, des simulations peuvent être utilisées pour compléter cette étude. Finalement, une dernière partie traitera de la cooptimisation entre la source et différents éléments tels que le masque et la forme final du motif souhaité. En effet, si la forme initiale du motif souhaité joue un rôle important dans la définition de la source, il est possible de modifier cette dernière, ainsi que la forme du masque en lui appliquant un OPC afin d’obtenir de meilleurs résultats. Ces modifications seront étudiées durant le dernier chapitre
Conducted between December 2009 and December 2012 within the RET (resolution enhancement technology) team at STMicroelectronics Crolles and in partnership with Saint-Etienne laboratory Hubert Curien of the University of Lyon, this thesis entitled "Impact of changing the source while using the source mask optimization technique within optical lithography, and application to 20 nm technology node. ". In this thesis, Alleaume Clovis studied the optimization of the source used in optical lithography, technique usually called SMO (for source mask optimization) and applied the technique to the industry through several problems. The first part of the manuscript describe the optical lithography generalities, in order to allow a better understanding of the issues and the techniques used in this study. Indeed, to allow optical lithography to continue the miniaturization of microelectronic components, it is necessary to optimize many aspects of the lithography. The shape of the light source used is no exception to this rule and the use of extended sources, off-axis and more or less complex now enables the production of advanced technologies. The second part will then focus on the source modification and optimization. In a first step, the diffraction theory will be examined to demonstrate the theoretical interest of the thesis, and to allow a better understanding of the problem. Simulations and SEM measurements will be presented to show the effectiveness of SMO method. As this study gave birth to several innovative source optimization techniques, they will be presented. Thus, the method of internal SMO based on the phenomenon of diffraction and created during this thesis will be presented and the results would be studied. The application of the source optimization to industrial problems will also be presented through different applications. Finally, a legacy of knowledge will be done by presenting the different tools developed during this thesis. A third part will deal with the study of tool which generate the source inside the scanner allowing the use of optimized and complex sources. The thesis has given rise to a new source decomposition technique using Zernike polynomial. It will be used in this study to model the degradation of a source, and for correlating the impact of a source modification due to SMO technique on the empirical model stability. The study of sources has been implemented according to industrial aspect to monitor the scanner with a quick method. In addition to the Zernike decomposition method, simulations can be used to complete this study. The forth chapter of this study will talk about this implementation. Finally, the last part of the study will talk about the co-optimization of the source with several elements, such as the mask OPC and the final shape of the desired pattern. Indeed, if the initial shape of the desired pattern plays an important role in defining the source, it is possible to modify the latter design shape, as well as the shape of the mask in order to optimize both the source and the target shape. These changes will be discussed in the last chapter
Estilos ABNT, Harvard, Vancouver, APA, etc.
13

Mebiene-Engohang, Armel-Petit. "Etude du dégazage des résines pour les lithographies électronique et extrême ultraviolet". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT001/document.

Texto completo da fonte
Resumo:
La lithographie électronique multifaisceaux (ou multi e-beam) en cours de développement est pressentie comme une alternative à la photolithographie 193 nm à immersion (193i nm) pour la production des circuits intégrés des noeuds technologiques avancés (14 nm et au-delà). Elle se présente également comme un concurrent potentiel à la photolithographie sous rayonnement EUV (13,5 nm) qui, elle aussi, est en cours de développement. Cependant, le développement de cette technologie doit faire face à plusieurs obstacles. Parmi eux, on a la contamination des optiques électroniques induite par le redépôt des molécules dégazées de la résine au cours de l‟exposition. Ces dépôts conduisent à la croissance d‟une couche carbonée en surface et à l‟intérieur des trous de ces optiques. Cette couche de contamination a tendance à diminuer la transmission des optiques et, par conséquent, diminuer les performances lithographiques de l‟outil (débit, uniformité des CD, rugosité, etc.). Il est donc indispensable de comprendre les mécanismes qui gouvernent le dégazage et la croissance de la couche de contamination afin d‟être en mesure de prédire son rôle sur les dérives des procédés et de l‟équipement. Tel a été l‟axe conducteur de ces travaux de thèse. Dans un premier temps, nous avons réalisé l‟état de l‟art des travaux déjà effectués dans le cas de la technique de lithographie EUV. Ensuite, nous avons conçu et fabriqué un banc de tests et développé, en parallèle, les méthodologies permettant de réaliser les études de dégazage des résines et de contamination induite sur des dispositifs simulateurs d‟optiques électroniques, appelés « mimics ». Puis, dans les conditions opératoires similaires à la plateforme Matrix développée par MAPPER Lithography, nous avons évalué le dégazage des résines de différentes formulations et mesuré la contamination induite par chacune de ces formulations sur les mimics à l‟aide du banc de tests développé. Enfin, nous avons proposé un modèle analytique permettant de prédire la croissance du film de contamination à l‟intérieur des trous du mimic en fonction des paramètres d‟exposition
The development of multiple e-beam lithography equipment is foreseen as an alternative to the 193i nm immersion photolithography for the advanced technological node (less than 14 nm). This next generation lithography is a potential challenger to the EUV (13.5 nm) lithography which is also under development. However, this technology faces important challenges in controlling the contamination of the electron optics due to the adsorption of molecules outgassed from resist under exposure and the subsequent formation of a carbonaceous film on optics surface. This contamination layer can lead to the transmission loss of the optics and, consequently, degrade the tool lithographic performances (throughput, CD uniformity, Line Width Roughness, etc.). It is thus important to understand the resist outgassing and induced contamination mechanisms in order to predict their effect on the process drifts. That was the driver axis of these thesis works. Firstly, we performed the state of the art related to the works already published in the EUV lithography case. Secondly, we designed and built-up an experimental setup and developed, in parallel, the methods allowing to study the mechanisms of resist outgassing and induced contamination on electron optics simulators, called “mimic”. Thirdly, we assessed the outgassing of several resist formulations in the same operating conditions as in the Matrix platform developed by MAPPER Lithography. We also measured the induced contamination layer on the mimics for each resist formulation using the developed experimental setup. And finally, we proposed an analytical model that allows to predict the contamination film growth inside mimic holes during exposure
Estilos ABNT, Harvard, Vancouver, APA, etc.
14

Gomez, Castano Mayte. "Métamatériaux optiques : conception, fabrication à grande échelle et caractérisation". Thesis, Bordeaux, 2020. http://www.theses.fr/2020BORD0094.

Texto completo da fonte
Resumo:
Les métamatériaux sont des matériaux structurés artificiellement, soigneusement designés pour obtenir des réponses électromagnétiques inobservables dans la nature, telles qu’un indice de réfraction négatif. Le but de cette thèse est le développement de métamatériaux optiques à grandes échelles pouvant être incorporés au sein de dispositifs réels. En combinant la lithographie colloïdale avec l’électrodéposition, nous avons réalisé par voie ascendante des métamatériaux de type « fishnet » faits de couches d’or et air, présentant un indice de réfraction qui varie entre valeurs positives et négatives dans le proche infrarouge. Des multicouches de type fishnet ont aussi été fabriquées en couplant la lithographie par nanoimpression et l’électrodéposition. Nous avons analysé en détail les réponses optiques de ces structures, qui présentent des valeurs négatives d’indice de réfraction du visible au proche infrarouge. Leur performance comme capteurs optiques a été étudiée via leur infiltration par différents liquides. Les différentes techniques d’élaboration précitées ont également été utilisées pour fabriquer des substrats métalliques nanostructurés afin d’étudier l’émission spontanée collective d’ensembles de molécules fluorescentes
Metamaterials are artificially structured materials, thoroughly designed for achieving electromagnetic properties not observed in nature such as the negative refractive index. The purpose of this thesis is the development of up-scalable optical metamaterials that can be easily incorporated into actual devices. By combining colloidal lithography and electrodeposition, we report an entirely bottom-up fishnet metamaterial made of gold and air layers. A proper theoretical and experimental design gives rise to tunable refractive index, from positive to negative values in the near infrared. This structure is extended to multilayered fishnet metamaterials made by nanoimprint lithography and electrodeposition. We thoroughly analyze the optical response of the structures, which lead to strong negative index from the visible to near infrared. Their performance as optical sensors is studied when infiltrating different liquids through the air cavities. These techniques are used to fabricate nanostructured metallic substrates for studying the collective spontaneous emission of fluorescent molecules
Estilos ABNT, Harvard, Vancouver, APA, etc.
15

Figueiro, Thiago Rosa. "Modélisation des procédés pour la correction des effets de proximity en lithographie électronique". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT011/document.

Texto completo da fonte
Resumo:
Depuis l'apparition du premier circuit intégré, le nombre de composants constituant une puce électronique n'a cessé d'augmenter tandis que les dimensions des composants ont continuellement diminué. Pour chaque nouveau nœud technologique, les procédés de fabrication se sont complexifiés pour permettre cette réduction de taille. L'étape de lithographie est une des étapes la plus critique pour permettre la miniaturisation. La technique de lithographie qui permet la production en masse est la lithographie optique par projection. Néanmoins cette technologie approche de ses limites en résolution et l'industrie cherche de nouvelles techniques pour continuer à réduire la taille des composants. Les candidats sont l'écriture en plusieurs passes, la lithographie EUV, l'écriture directe, la nano-impression ou l'auto-organisation dirigée. Même si ces alternatives reposent sur des principes très différents, chacune a en commun l'utilisation de la lithographie électronique à un moment ou à un autre de leur réalisation. La lithographie électronique est sujette à des phénomènes spécifiques qui impactent la résolution finale, tels la diffusion des électrons, le « fogging », la diffusion d'acide, la CMP etc… La solution choisie par l'industrie pour tenir compte de tous ces phénomènes est de les prévoir puis de les compenser. Cette correction nécessite de les prédire à l'aide de modélisation, la précision de ces modèles décrivant les procédés étant primordiale. Dans cette thèse, les concepts de base permettant de développer un modèle sont présentés. L'évaluation de la qualité des données, la méthodologie de choix d'un modèle ainsi que la validation de ce model sont introduites. De plus, les concepts d'analyse de sensibilité locale et globale seront définis. L'état de l'art des stratégies utilisées ou envisagées pour les procédés lithographiques actuels ou futurs sont énoncés, chacune des principales étapes lithographiques étant détaillée. Les modèles tenant compte de la physique et de la chimie impactant sur la résolution après écriture par e-beam sont étudiés. De plus, les modèles compacts permettant de prédire les résultats obtenus par e-beam seront détaillés, pour finalement décrire les limitations des stratégies actuelles. De nouveaux modèles compactes sont proposés en introduisant de nouvelles familles de fonctions telles que les fonctions Gamma ou les fonctions de Voigt. De plus, l'utilisation des fonctions d'interpolations de type Spline sont également proposés. Un modèle résine d'utilisation souple a également été développé pour tenir compte de la plupart des comportements expérimentaux observés en évaluant les dimensions de motifs d'un dessin en utilisant des métriques appropriés. Les résultats obtenus en utilisant de telles méthodes montrent une amélioration de la précision de la modélisation, notamment en ce qui concerne les motifs critiques. D'autres modèles spécifiques permettant de décrire les effets d'extrême longue portée ou permettant de compenser les déviations entre deux procédés sont également décrits dans ce travail. Le choix du jeu de motifs de calibration est critique pour permettre à l'algorithme de calibration d'obtenir des valeurs robustes des paramètres du modèle. Plusieurs stratégies utilisées dans la littérature sont brièvement décrites avant l'introduction d'une technique qui utilise l'analyse de sensibilité globale basée sur la variance afin de sélectionner les types de géométries optimales pour la calibration. Une stratégie permettant la sélection de ces motifs de calibration est détaillée. L'étude de l'impact du procédé et des incertitudes de mesures issue de la métrologie est également abordée, ce qui permet d'énoncer les limites à attendre du modèle sachant que les mesures peuvent être imprécises. Finalement, des techniques permettant de s'assurer de la qualité d'un modèle sont détaillées, telle l'utilisation de la validation croisée. La pertinence de ces techniques est démontrée pour quelques cas réel
Since the development of the first integrated circuit, the number of components fabricated in a chip continued to grow while the dimensions of each component continued to be reduced. For each new technology node proposed, the fabrication process had to cope with the increasing complexity of its scaling down. The lithography step is one of the most critical for miniaturization due to the tightened requirements in both precision and accuracy of the pattern dimension printed into the wafer. Current mass production lithography technique is optical lithography. This technology is facing its resolution limits and the industry is looking for new approaches, such as Multi-patterning (MP), EUV lithography, Direct Write (DW), Nano-imprint or Direct Self-Assembly (DSA). Although these alternatives present significant differences among each other, they all present something in common: they rely on e-beam writers at some point of their flow. E-beam based lithography is subject to phenomena that impact resolution such as are electron scattering, fogging, acid diffusion, CMP loading, etc. The solution the industry adopted to address these effects is to predict and compensate for them. This correction requires predicting the effects, which is achieved through modeling. Hence the importance of developing accurate models for e-beam process. In this thesis, the basic concepts involving modeling are presented. Topics such as data quality, model selection and model validation are introduced as tools for modeling of e-beam lithography. Moreover, the concepts of local and global sensitivity analysis were also presented. Different strategies of global sensitivity analysis were presented and discussed as well as one of the main aspects in its evaluation, which is the space sampling approach. State-of-the-art strategies for todays and future lithography processes were presented and each of their main steps were described. First Principle models that explain the physics and chemistry of the most influential steps in the process resolution were also discussed. Moreover, general Compact models for predicting the results from e-beam lithography were also presented. Finally, some of the limitations of the current approach were described. New compact models described as Point-Spread-Function (PSF) are proposed based on new distributions, such as Gamma and Voigt. Besides, a technique using Splines for describing a PSF is also proposed. Moreover, a flexible resist model able to integrate most of the observed behavior was also proposed, based on evaluating any pattern on the layout using metrics. Results using such method further improved the any of the PSF distribution approach on the critical features that were limiting the future technology nodes. Other specific models and strategies for describing and compensating for extreme-long-range effects and for matching two different fabrication processes are also proposed and described in this work. The calibration layout is a key factor for providing the calibration algorithm with the experimental data necessary to determine the values of each of the parameters of the model. Several strategies from the literature were briefly described before introducing one of the main propositions of this thesis, which is employing variance-based global sensitivity analysis to determine which patterns are more suitable to be used for calibration. A complete flow for selecting patterns for a calibration layout was presented. A study regarding the impact of process and metrology variability over the calibration result was presented, indicating the limits one may expect from the generated model according to the quality of the data used. Finally, techniques for assuring the quality of a model such as cross-validation were also presented and demonstrated in some real-life situations
Estilos ABNT, Harvard, Vancouver, APA, etc.
16

Jussot, Julien. "Lithographie directe à faisceaux d’électrons multiples pour les nœuds technologiques sub-20nm". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT086/document.

Texto completo da fonte
Resumo:
Depuis de nombreuses années, l'industrie microélectronique s'est engagée dans une course à l'augmentation des performances et à la diminution des coûts de ses dispositifs grâce à la miniaturisation de ces derniers. La génération de ces structures de petites dimensions repose essentiellement sur l'étape de lithographie. Dans cette optique, plusieurs techniques de lithographie nouvelle génération (NGL) sont en cours de développement afin de pouvoir répondre aux besoins de l'industrie pour les nœuds technologiques inférieurs à 20 nm. Parmi elles, les solutions de lithographie à faisceaux d'électrons multiples semblent très prometteuses grâce à leur écriture directe sans masque (ML2), ainsi que leur coût et encombrement réduits. Le CEA-LETI s'est associé à l'entreprise Mapper Lithography basée aux Pays-Bas afin d'aider au développement d'une technologie de lithographie électronique à faisceaux d'électrons multiples basse énergie (d'énergie 5 keV). Les travaux de thèse de ce manuscrit visent à contribuer au développement de cette technologie qui pourrait à terme permettre de réaliser des dispositifs CMOS pour les nœuds technologiques actuels et futurs. L'intégration d'une nouvelle technique de lithographie dans l'industrie repose sur 3 grands critères du procédé lithographique, la production horaire (sensibilité), la résolution (taille minimale des structures réalisées) et la rugosité de ligne. La rugosité de ligne est devenue l'un des paramètres les plus critiques limitant à l'heure actuelle la miniaturisation et pour cause cette dernière impacte de manière négative les performances des dispositifs. Alors que l'ITRS préconise une rugosité de ligne inférieure à 1.7 nm pour les futurs nœuds technologiques inférieurs à 20 nm, les lithographies actuelles ne permettent pas d'obtenir des rugosités inférieures à 4-5 nm. Les travaux de cette thèse visent la minimisation de la rugosité de ligne de résine imprimée par lithographie électronique en proposant des stratégies alternatives d'écriture ou en modifiant les empilements de matériaux sous-jacents la résine, ou encore par l'introduction de traitements post-lithographiques tels que des recuits thermiques ou des traitements plasma. Les études ont montré qu'en combinant une stratégie d'écriture et un traitement plasma à base de dihydrogène une réduction de 41% du LWR pouvait être obtenue
For decades, the growth of the Semiconductor Industry (SI) has been driven by the paramount need for faster devices at a controlled cost primarily due to the shrinkage of chip transistors. The performances of future CMOS technology generations still rely on the decrease of the device dimensions. However, the photolithography is, today, the limiting factor for pattern miniaturization and the technology has been at a standstill since the development of 193-nm water-based immersion lithography. Moreover, another parameter limiting further semiconductor scaling is the transistor gate linewidth roughness (LWR), i.e. the standard deviation of the gate critical dimension (CD) along the line. The LWR needs to be controlled at the nanometer range to ensure good electrical performances of the future CMOS device. The lithography step is again identified as the root cause of the gate LWR. Indeed, the significant LWR (4-5 nm) of the patterns printed by photolithography is transferred into the gate during the subsequent plasma etching steps, resulting in a final gate LWR far above the sub-2 nm LWR targeted for the sub-20 nm technological nodes. In order to continue scaling down feature sizes of devices, the semiconductor industry is waiting for the maturity of next generation lithographies (NGL). Among NGL, one can find the promising mask-less direct-write techniques (ML2) in which multiple electron beam lithography (multibeam lithography) is regarded as a serious candidate for providing high resolution structures at a low cost. The firm MAPPER Lithography, associated with CEA-LETI is working on the development of such a technology. The aim of this work is to contribute to the development of a low energy (5 keV) multibeam technology and to focus on the improvement of the LWR of the printed patterns. Several process parameters have been investigated to decrease the LWR: the effect of a specific writing strategy, the influence of the under layers and the introduction of post-lithographic treatments such as plasma treatments or thermal annealing. This work has shown that by combining a biased writing strategy with H2 plasma treatment, a 41% LWR decrease could be obtained. Although this performance is still above the ITRS requirements, this work opens the pace for LWR optimization with multi-beam lithography
Estilos ABNT, Harvard, Vancouver, APA, etc.
17

Zeggaoui, Nassima. "La lithographie par double impression pour les noeuds technologiques avancés". Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00637169.

Texto completo da fonte
Resumo:
La lithographie par double impression est une solution potentielle proposée pour l'impression des circuits des nœuds technologiques avancés (22nm et au-delà) en attendant que la lithographie Extrême Ultraviolet soit prête pour la production en masse. La technique de double impression est basée sur la décomposition en deux masques d'exposition des motifs d'un niveau donné du circuit intégré. Deux motifs voisins ayant un pas inférieur au pas minimal résolu en un procédé lithographique sont affiliés simultanément à deux masques différents. Les motifs ayant des pas supérieurs au pas critique, motifs non critiques, sont mis sur un masque ou sur un autre dans le but de générer une densité de motifs équivalente entre les deux masques d'exposition. Dans cette thèse, nous avons développé une nouvelle méthode de décomposition dite " décomposition optique ". Cette dernière est basée sur l'analyse de l'interaction des ordres de diffraction dans le plan de la pupille du système optique de projection. La décomposition optique permet d'améliorer l'affiliation des motifs non critiques à l'un des deux masques dans le but d'améliorer le contraste des deux masques lors de la double impression. Afin de valider cette nouvelle méthode de décomposition, nous l'avons appliqué au niveau contacts d'un circuit de logique du nœud 22nm.
Estilos ABNT, Harvard, Vancouver, APA, etc.
18

Furtak, Kamila. "La lignine : étude de son potentiel en tant que résine photosensible pour la photolithographie 1D et 3D". Thesis, Reims, 2017. http://www.theses.fr/2017REIMS004/document.

Texto completo da fonte
Resumo:
L'objectif de ce travail est de développer et d'évaluer de nouvelles résines originales biosourcées pour l'application lithographique. Pour atteindre cet objectif, nous avons sélectionné différents types de lignine et étudié l'influence du fractionnement de la lignine sur les propriétés finales de la résine obtenue. Le fractionnement de la lignine est réalisé à l’aide de solvants organiques sélectionnés pour leur polarité. Nous avons choisi ce polymère naturel en raison de la présence de nombreux groupes fonctionnels directement responsables de sa réactivité : molécules photosensibles et potentiellement réticulables. La lignine est également une bonne candidate car abondante sur Terre et sa valorisation actuelle en tant que matière première chimique est négligeable. Nous avons étudié la photoréactivité de la résine à base de lignine à 395 nm en utilisant des sources de lumière LED. Sur la base des observations FTIR et UV-VIS, nous avons étudié et quantifié les changements structurels survenus lors de l'exposition de la photorésine à la lumière. Cependant, ces changements étaient plus intenses au cours de l'irradiation conduite dans l'air par rapport à une atmosphère inerte. De plus, nous avons établi le lien entre la structure chimique de la lignine et sa réactivité décrite par les tests de sensibilité, de contraste et de résolution. Nous avons proposé des mécanismes cohérents basés sur des données de la littérature. Ainsi, la réticulation de la lignine se produit sous les photons des rayonnements UV-VIS entraînant la formation de structures intermédiaires, de chromophores ou de composés volatils de bas poids moléculaire. Enfin, nous avons démontré qu'il était possible de fabriquer par écriture laser directe des nanostructures mono-, bi- et tridimensionnelles dans la photorésine à base de lignine "juste fractionnée" par polymérisation à deux photons
The goal of this work was to develop and to evaluate new, original and bio-based resist for lithographic application. To reach this purpose, we have selected various types of lignin and study the influence of lignin fractionation in different organic solvents on the final resist properties. We have chosen this photosensitive and crosslinkable polymer due to its great abundance and to its currently poor valorisation as a chemical feedstock, as well as the versatility of the functional groups that were directly responsible for its reactivity. We have investigated the photoreactivity of lignin-based resist at 395 nm, using LED light sources. Based on the FTIR and UV-vis monitoring, we have investigated and quantified the structural changes occurred during photoresist exposure to the light. However, they were more visible during the irradiation conducted in the air than in the inert atmosphere. Moreover, we have established the link between chemical structure of lignin and its reactivity observed by sensitivity, contrast and resolution tests. Additionally, we have proposed reasonable mechanisms based on literature data according to which lignin crosslinking occur under UV-visible photons. They comprised the formation of intermediates structures, chromophores, or low molecular weight volatile compounds, as well and crosslinking reactions. Finally, we have demonstrated that it was possible to fabricate one-, two- and three-dimensional structures from "just-fractionated" lignin photoresist by two-photon polymerisation achieved by direct laser writing
Estilos ABNT, Harvard, Vancouver, APA, etc.
19

Zheng, Zijian. "Soft lithography and nanoimprint lithography for applications in polymer electronics". Thesis, University of Cambridge, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.613415.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
20

Kandulski, Witold. "Shadow nanosphere lithography". [S.l.] : [s.n.], 2007. http://deposit.ddb.de/cgi-bin/dokserv?idn=985533013.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
21

Musgraves, J. David. "Maskless Projection Lithography". Scholarship @ Claremont, 2003. http://scholarship.claremont.edu/pomona_theses/17.

Texto completo da fonte
Resumo:
Photolithography is a key element of the modem integrated circuit process. It is photolithography, combined with metal deposition, that allows a three dimensional circuit to be built up on a two dimensional surface. Since it is such an important part of the semiconductor manufacturing industry, a massive base of research in this area already exists. The problem with this pre-existing research is that it is geared solely toward industrial purposes, as opposed to more academic research areas. The goal of my research is to move this industrial process into the academic setting of Pomom College.
Estilos ABNT, Harvard, Vancouver, APA, etc.
22

Schmidt, Aaron Jerome 1979. "Contact thermal lithography". Thesis, Massachusetts Institute of Technology, 2004. http://hdl.handle.net/1721.1/27116.

Texto completo da fonte
Resumo:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2004.
Includes bibliographical references (p. 65-67).
Contact thermal lithography is a method for fabricating microscale patterns using heat transfer. In contrast to photolithography, where the minimum achievable feature size is proportional to the wavelength of light used in the exposure process, thermal lithography is limited by a thermal diffusion length scale and the geometry of the situation. In this thesis the basic principles of thermal lithography are presented. A traditional chrome-glass photomask is brought into contact with a wafer coated with a thermally sensitive polymer. The mask-wafer combination is flashed briefly with high intensity light, causing the chrome features heat up and conduct heat locally to the polymer, transferring a pattern. Analytic and finite element models are presented to analyze the heating process and select appropriate geometries and heating times. In addition, an experimental version of a contact thermal lithography system has been constructed and tested. Early results from this system are presented, along with plans for future development.
by Aaron Jerome Schmidt.
S.M.
Estilos ABNT, Harvard, Vancouver, APA, etc.
23

Brodsky, Colin John. "Graft polymerization lithography". Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3024998.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
24

Baker, Mark. "Metastable Atom Lithography". Thesis, Griffith University, 2008. http://hdl.handle.net/10072/365477.

Texto completo da fonte
Resumo:
This thesis describes the development of a rare gas metastable atomic beam apparatus, and its application to atom lithography. The principal component of the apparatus is the supersonic DC discharge source. The source parameters, such as operating pressure, skimmer distance, discharge current and nozzle shape were optimised to generate a bright beam of excited state metastable neon and argon, with typical flux of 5×10¹? atoms sr?¹ and 3×10¹? atoms sr?¹ respectively. This apparatus was used to investigate the pattern formation of self assembled monolayer (SAM) resists prepared on Au/Si samples exposed to metastable beams of Ar* and Ne*, through microfabricated contact masks. Positive and negative tone patterning was observed, with supporting XPS analysis attributing the negative tone resists to contamination from pump oil vapour. The formation of negative tone contamination resists by the metastable neon beam was applied to the generation of micrometer sized Fe structures using contact masks. A 3-step etch process was developed and refined, resulting in 7.5µm Fe microdot structures on a Si substrate. A bright transverse and longitudinally cooled and collimated metastable neon beam source for atom lithography was developed. The transverse atomic beam collimation stage produced a collimated beam flux of of 1.4×10¹? s?¹, with a divergence of 22.8 mrad. Axial slowing of the atomic beam was demonstrated with the development of a Zeeman slower. Numerical simulations were undertaken to calculate the motion of metastable neon atoms in a one-dimensional standing wave light field mask. The simulations show the dynamics and atom distributions for the focusing regime (low power) and channeling regime (high power). Future refinements of the apparatus should allow the realisation of nanofabricated structures utilising optical masking techniques.
Thesis (PhD Doctorate)
Doctor of Philosophy (PhD)
School of Biomolecular and Physical Sciences
Faculty of Science
Full Text
Estilos ABNT, Harvard, Vancouver, APA, etc.
25

Park, Jea Woo. "Lithography Hotspot Detection". PDXScholar, 2017. https://pdxscholar.library.pdx.edu/open_access_etds/3781.

Texto completo da fonte
Resumo:
The lithography process for chip manufacturing has been playing a critical role in keeping Moor's law alive. Even though the wavelength used for the process is bigger than actual device feature size, which makes it difficult to transfer layout patterns from the mask to wafer, lithographers have developed a various technique such as Resolution Enhancement Techniques (RETs), Multi-patterning, and Optical Proximity Correction (OPC) to overcome the sub-wavelength lithography gap. However, as feature size in chip design scales down further to a point where manufacturing constraints must be applied to early design phase before generating physical design layout. Design for Manufacturing (DFM) is not optional anymore these days. In terms of the lithography process, circuit designer should consider making their design as litho-friendly as possible. Lithography hotspot is a place where it is susceptible to have fatal pinching (open circuit) or bridging (short circuit) error due to poor printability of certain patterns in a design layout. To avoid undesirable patterns in layout, it is mandatory to find hotspots in early design stage. One way to find hotspots is to run lithography simulation on a layout. However, lithography simulation is too computationally expensive for full-chip design. Therefore, there have been suggestions such as pattern matching and machine learning (ML) technique for an alternative and practical hotspot detection method. Pattern matching is fast and accurate. Large hotspot pattern library is utilized to find hotspots. Its drawback is that it can not detect hotspots that are unseen before. On contrast, ML is effective to find previously unseen hotspots, but it may produce false positives. This research presents a novel geometric pattern matching methodology using edge driven dissected rectangles and litho award machine learning for hotspot detection. 1. Edge Driven Dissected Rectangles (EDDR) based pattern matching EDDR pattern matching employs member concept inside a pattern bounding box. Unlike the previous pattern matching, the idea proposed in this thesis uses simple Design Rule Check (DRC) operations to create member rectangles for pattern matching. Our approach shows significant speedup against a state-of-art commercial pattern matching tool as well as other methods. Due to its simple DRC edge operation rules, it is flexible for fuzzy pattern match and partial pattern match, which enable us to check previously unseen hotspots as well as the exact pattern match. 2. Litho-aware Machine Learning A new methodology for machine learning (ML)-based hotspot detection harnesses lithography information to build SVM (Support Vector Machine) during its learning process. Unlike the previous research that uses only geometric information or requires a post-OPC (Optical Proximity Correction) mask, our method utilizes detailed optical information but bypasses post-OPC mask by sampling latent image intensity and use those points to train an SVM model. Our lithography-aware machine learning guides learning process using actual lithography information combined with lithography domain knowledge. While the previous works for SVM modeling to identify hotspots have used only geometric related information, which is not directly relevant to the lithographic process, our SVM model was trained with lithographic information which has a direct impact on causing pinching or bridging hotspots. Furthermore, rather than creating a monolithic SVM trying to cover all hotspot patterns, we utilized lithography domain knowledge and separated hotspot types such as HB(Horizontal Bridging), VB (Vertical Bridging), HP(Horizontal Pinching), and VP(Vertical Pinching) for our SVM model. Out results demonstrated high accuracy and low false alarm, and faster runtime compared with methods that require a post-OPC mask. We also showed the importance of lithography domain knowledge to train ML for hotspot detection.
Estilos ABNT, Harvard, Vancouver, APA, etc.
26

Meyers, Bernard C. "Nagual interpretations /". Online version of thesis, 1990. http://hdl.handle.net/1850/10953.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
27

Wu, Xiao. "Fabrication of 1D, 2D and 3D polymer-based periodic structures by mass transport effect". Thesis, Cachan, Ecole normale supérieure, 2013. http://www.theses.fr/2013DENS0058/document.

Texto completo da fonte
Resumo:
Nous avons étudié théoriquement et expérimentalement la formation de réseaux en relief sur des surfaces active ou passive, avec deux types de polymères photosensibles : résine photosensible négative et copolymère azobenzene. Le mécanisme de formation des structures est attribué à l'effet de transport de masse, qui déplace la matière dans des directions opposées dans ces deux matériaux. La technique de fabrication est basée sur l'utilisation de la lithographie par interférence, ce qui a permis de créer des structures grandes et uniformes. Dans le premier cas, des structures passives de surface en relief en 1D et 2D ont été créés sur la résine photosensible négative SU8 grâce à l'effet de rétrécissement durant le processus de réticulation. Dans le second cas, des structures périodiques actives en 1D, 2D et 3D ont été obtenues grâce à la migration des matériaux copolymères DR1/PMMA des régions de forte intensité d’irradiation à celles de faible intensité. L'amplitude de modulation de la structure est optimisée par le contrôle de l'épaisseur du film, de la périodicité de la structure, de la dose d'exposition, et des polarisations des faisceaux laser. Les applications de ces structures pour des lasers DFB à multiples longueurs d'onde, les cristaux photoniques non-Linéaires, et le couplage dans les guides d'ondes ont été discutés
We have theoretically and experimentally investigated the formation of both active and passive surface relief gratings on two kinds of photosensitive polymers: negative photoresist and azobenzene copolymer. The common mechanism of the structures formation was attributed to mass transport effect, which however pushes the materials in opposite directions in these two materials. The fabrication technique is based on the use of interference lithography, which allowed to create large and uniform structures. In the first case, 1D and 2D passive periodic surface relief structures were created on the negative photoresist SU8 thanks to the shrinkage effect during the crosslinking process. In the second case, 1D, 2D and 3D active periodic structures have been obtained thanks to the movement of DR1/PMMA copolymer materials from regions of high intensity to those of low intensity irradiation. The modulation amplitude of structures is optimized by controlling the film thickness, the structure periodicity, the exposure dosage, and the polarizations of interference laser beams. Applications of these structures for multiple wavelength DFB laser, nonlinear photonic crystals, and waveguide coupling have been discussed
Estilos ABNT, Harvard, Vancouver, APA, etc.
28

Leonard, Anthony. "Développement d'une technologie hybride à base de microbilles pour la détection d'anticorps IgE : vers le diagnostic d'allergies". Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAY061/document.

Texto completo da fonte
Resumo:
Les allergies représentent un enjeu sociétal majeur dans nos sociétés modernes. Les outils de diagnostics actuels permettent la mesure de concentration d’anticorps spécifiques IgE responsables des réactions allergiques contenus dans le sérum de patient. L’intégration de nano-micro particules diminue les distances de diffusion permettant de miniaturiser les dispositifs, de diminuer le temps de réaction et d’améliorer l’efficacité du diagnostic. C’est dans cette perspective que cette thèse se positionne en développant une méthode innovante de détection des anticorps IgE par l’utilisation de microbilles polystyrènes 10µm (PS) et superparamagnétiques 1µm (SPM).L’idée explorée dans cette thèse est d’utiliser les microbilles PS comme support d’immunodosage et les propriétés magnétiques des microbilles SPM en réalisant un tri magnétique des microbilles PS. Nous avons développé cette technologie en trois étapes technologiques distinctes. Premièrement, la bio-fonctionnalisation a permis le greffage des allergènes et des anticorps à la surface des microbilles qui réagissent ensuite avec le sérum de patient. Puis, le tri magnétique a été développé pour isoler les microbilles PS en fonction de la présence ou non d’anticorps IgE à leur surface. L’efficacité de ce procédé est proportionnelle à la quantité d’IgE par microbilles. Finalement une technologie d’auto-assemblage de microbilles appelées « assemblage gravitationnel » a été développé en exploitant avantageusement les phénomènes de sédimentation et de capillarité. Il a été montré que cette technologie complémentaire à la technologie d’assemblage capillaire étend le domaine de l’assemblage à des systèmes de suspensions colloïdales denses. De plus, cette technologie permet d’atteindre une limite de détection de 2 microbilles/ml.Des tests cliniques ont été réalisés avec succès à partir de sérums de patient sensibles à l’arachide, à la noisette et à la crevette. Le temps de procédé, la limite de détection et la dynamique de détection ont été optimisés. La preuve de concept de détection des anticorps IgE a été présentée et ouvre la voie vers le diagnostic d’allergies multiplexe
Allergies represent a major social issue for modern societies. Current diagnostic tools enables to measure the concentration of specific IgE antibodies responsible for allergic response into the patient’s serum. The use of nano-micro particles decreases diffusion distances in order to shrink the size of device, reduce the length of time reaction and improve diagnostic efficiency. In this perspective, the PhD work develop an innovative method to detect IgE antibodies by using both 10µm polystyrene (PS) and 1µm superparamagnetic (SPM) microbeads.The PhD explores the idea of using both PS microbeads as support of immunoassay and magnetic properties of SPM microbeads in order to perform a magnetic sorting of PS microbeads. We have developped this technology in 3 different technological steps. First, biofunctionnalization is used to link allergens and antibodies onto the surface of microbeads intended to react with serum patient. Then, the magnetic sorting has been developped to isolate PS microbeads depending on the presence / absence of IgE antibodies onto their surface. The efficiency of such a process is proportional to IgE quantity per microbeads. Finally, a self-assembly process of microbeads called « gravitational assembly » has been developped by studying sedimentation and capillary phenomenon. It has been shown that this complementary technology to the capillary assembly technology extend the scope of assembly to dense system of colloidal suspension. I addition, this technology reaches a detection limit of 2 microbeads per ml.Clinical trials have been succesfully performed from serum patient allergic to peanut, hazelnut or shrimp. The process time, detection limit and dynamic range of the detection system have been studied and optimized. The proof of concept of IgE antibodies detection have been presented and points the way towards the multiplex diagnosis of allergies
Estilos ABNT, Harvard, Vancouver, APA, etc.
29

Chagniot, Claire. "Baudelaire et les estampes". Thesis, Paris 4, 2010. http://www.theses.fr/2010PA040242.

Texto completo da fonte
Resumo:
L'invention de la photographie bouleverse le monde de l'estampe entre 1850 et 1865. Le burin et la lithographie, pourtant récente, ne sont plus les seuls moyens de reproduire les tableaux, et l'eau-forte renaît. Dès ses premiers Salons, Baudelaire est attentif aux différents procédés. Vers 1859-1862, son action et ses articles en faveur de Charles Meryon et de la Société des aquafortistes font de lui un des principaux défenseurs de l'eau-forte originale. Après avoir acheté dans sa jeunesse des tableaux anciens, Baudelaire constitue alors une collection importante d'estampes, en rapport avec son activité de critique d'art. D'un autre côté, l'essai sur le rire, ceux sur les caricaturistes français et sur les caricaturistes étrangers, ainsi que les projets d'articles sur « L'Art philosophique » et les « Peintres de mœurs » sont entièrement ou en partie inspirés par des estampes. Ces textes donnent à Baudelaire l'occasion de poser des questions de poétique et d'esthétique, – le statut de l'artiste comique, la beauté de l'éphémère et la trivialité en art, par exemple. Comme les poèmes inspirés d'estampes, ils montrent aussi la façon dont le poète met à l'épreuve le sens des images. La dernière partie de notre travail est consacrée à l'histoire des frontispices dont Baudelaire voulut faire orner plusieurs de ses œuvres, et en particulier à celui qu'il projetait de donner à la deuxième édition des Fleurs du mal
The invention of photography drastically changed the world of printing between 1850 and 1865. Lithography – though recent – and engraving were no longer the only means to reproduce paintings – etching came back to life. Since his first Salons, Baudelaire had been interested in these different techniques. Around 1859-1862, he became one of the key figures in the defense of original etching through his action and his articles in favour of Charles Meryon and the Société des Aquafortistes. After having bought antique paintings in his youth, Baudelaire built up a large collection of prints – in relation to his activity of art critic. Besides, his essay on laughter, the ones on French caricaturists and on foreign caricaturists, as well as his drafts of articles on “L’Art philosophique” and the “Peintres de mœurs” are entirely or partly inspired by prints. These texts gave Baudelaire the opportunity to raise issues of poetics and aesthetics, such as the position of the comic artist, the beauty of transience and triviality in art. Like his poems inspired by prints, they also show how the poet questions the meaning of images. The final part of this work is devoted to the history of frontispieces which Baudelaire wanted to use to illustrate several of his works with, and more particularly the one he planned to illustrate the second edition of Les Fleurs du mal with
Estilos ABNT, Harvard, Vancouver, APA, etc.
30

Carau, Damien. "Amélioration des méthodes de contrôle dimensionnel et d'alignement pour le procédé de lithographie à double patterning pour la technologie 14 nm". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT072/document.

Texto completo da fonte
Resumo:
En microélectronique, l'augmentation de la densité des composants est la solution principale pour améliorer la performance des circuits. Ainsi, la taille des structures définies par la lithographie diminue à chaque changement de nœud technologique. A partir du nœud 14 nm, la lithographie optique est confrontée à la limite de résolution pour les niveaux métalliques. Pour surmonter cet obstacle, les niveaux métalliques sont conçus en deux étapes successives de patterning regroupant chacune une étape de lithographie et une étape de gravure. Cette technique, nommée double patterning, requiert une métrologie adaptée car l'alignement entre les deux étapes et les dimensions critiques sont alors directement liées. La méthode de mesure développée dans cette thèse repose sur la scattérométrie et la mesure de l'alignement par diffraction. Un code de simulation a permis d'optimiser la conception des mires de mesure. De plus, la méthode de mesure adoptée a pu être validée expérimentalement
In microelectronics, the increase of component density is the main solution to improve circuit performance. The size of the patterns defined by lithography is reduced at each change of technology node. From the 14 nm node, optical lithography is facing the resolution limit for metal levels. In order to overcome this hurdle, metal levels are designed in two successive steps of patterning, which is composed of lithography followed by etching. This double patterning technique requires an appropriate metrology since overlay between the two steps and critical dimensions are directly linked. The developed method is based on scatterometry and overlay measurement by diffraction. Using a simulation code, the measurement targets have been designed optimally. Then the adopted method has been validated experimentally
Estilos ABNT, Harvard, Vancouver, APA, etc.
31

Chen, Ying. "PATTERNING ELASTOMER, THERMOPLASTICS AND SHAPE MEMORYMATERIAL BY UVO LITHOGRAPHY AND SOFT LITHOGRAPHY". University of Akron / OhioLINK, 2017. http://rave.ohiolink.edu/etdc/view?acc_num=akron1491264216402058.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
32

Colburn, Matthew Earl. "Step and flash imprint lithography : a low-pressure, room-temperature nanoimprint lithography /". Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3025205.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
33

MALAQUIN, Laurent. "Dispositifs ultra-sensibles pour le nano-adressage electrique. Application a la detection de biomolecules". Phd thesis, Université Paul Sabatier - Toulouse III, 2004. http://tel.archives-ouvertes.fr/tel-00009243.

Texto completo da fonte
Resumo:
" Because technology provides the tools and biology the problems, the two should enjoy a happy marriage ! "1 . Cette phrase resume parfaitement l'esprit du projet qui a motive ces travaux de these. En effet, le couplage des biotechnologies et des micro et nano technologies, resume sous le vocable < Nanobiotechnologies > est une activite en plein essor qui laisse presager de nombreuses applications en particulier dans le domaine de la biodetection. Lobjectif principal de ces travaux est dedie au developpement de strategies d'adressage de biomolecules a l'echelle nanometrique pour des applications de biodetection. Le premier aspect de ce travail est d'ordre technologique. Il concerne la fabrication de dispositifs d'adressage bases sur des reseaux de nanoelectrodes planaires. En utilisant un procede reposant sur lutilisation de la lithographie electronique haute resolution sur un microscope TEM/STEM, nous avons pu demontrer la fabrication de dispositifs a base de nanoelectrodes presentant des espaces inter-electrodes controlables entre 100 et 15nm. Une technique de lithographie alternative, la Nano-Impression est egalement presentee comme une solution possible a la replication de nanodispositifs fabriques par lithographie electronique. La deuxieme partie des travaux est dediee a la mise en place dun schema de detection de nanoparticules que nous avons developpe autour de dispositifs bases sur des reseaux delectrodes inter-digitees. Avant de nous interesser a l'utilisation de ces dispositifs pour une application biologique, nous avons etudie leur reponse electrique vis-a-vis de l'absorption de nanoparticules d'Or par interaction electrostatique. Les premiers resultats obtenus montrent que le schema de detection permet d'atteindre un niveau de sensibilite ultime au travers d'une mesure directe de la conductance des dispositifs. Certaines experiences montrent en effet la possibilite de mesurer electriquement l'adsorption d'une seule nanoparticule. Enfin, la derniere partie de ces travaux est dediee a l'adaptation de ce protocole pour la detection de biomolecules fonctionnalisees par des nanoparticules d'Or. Pour cela, nous avons employe une approche simple basee sur un systeme de reconnaissance entre une molecule cible et une molecule sonde. Ce schema a ete applique a la detection d'interaction antigene/anticorps et nous a permis de transcrire la selectivite de la reconnaissance entre les anticorps dans le depot des nanoparticules qui se traduit par une modification importante de la conductance du dispositif. Les possibilites d'integration ainsi que la compatibilite des dispositifs avec des systemes de microfluidique rendent ce schema de detection particulierement adapte pour le developpement d'un systeme integre de biodetection a tres haute sensibilite. 1 S. Fields, Proc. Natl. Acad. Sci. USA, vol 98, pp 10051-10054 (2001)
Estilos ABNT, Harvard, Vancouver, APA, etc.
34

Kim, Hyung-Jun. "Automation of soft lithography". Thesis, Massachusetts Institute of Technology, 2006. http://hdl.handle.net/1721.1/38290.

Texto completo da fonte
Resumo:
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2006.
Includes bibliographical references (leaves 79-82).
This dissertation is a final documentation of the project whose goal is demonstrating manufacturability of soft lithography. Specifically, our target is creating micron scale patterns of resists on a 3 square inch, relatively large area in case of soft lithography, flexible substrate using microcontact printing in order to forming electronic circuit patterns for flexible displays. At first, the general principles and characteristics of soft lithography are reviewed in order to provide the snapshot of soft lithography technologies, and the key factors that affect the productivity and quality of microcontact printing are discussed because such factors should be understood in advanced to develop current lab-based microcontact printing science into plant manufacturing technology. We proposed a prototype for automated of microcontact printing process adopting a continuous reel-to-reel design, ideal for mass production, as well as printing-side-up design in order to minimize the distortion of relief features of PDMS stamp. The machine we created not only demonstrated the manufacturability of microcontact printing, our initial project goal, but also high scalability for mass production. The machine can print micron scale patterns on a 7 square inch plastic sheet, four times bigger than initial target area, at once.
by Hyung-Jun Kim.
M.Eng.
Estilos ABNT, Harvard, Vancouver, APA, etc.
35

Tsai, Hsin-Yu Sidney. "Absorbance modulation optical lithography". Thesis, Massachusetts Institute of Technology, 2007. http://hdl.handle.net/1721.1/42253.

Texto completo da fonte
Resumo:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2007.
This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.
Includes bibliographical references (p. 91-94).
In this thesis, the concept of absorbance-modulation optical lithography (AMOL) is described, and the feasibility experimentally verified. AMOL is an implementation of nodal lithography, which is not bounded by the diffraction limit of incident lights. Experimental results showed promising capability of AMOL and matched well with simulation. Several key elements of the AMOL system are discussed: the material systems of AMOL, limitations on the material and optical systems presented, and the design and fabrication of spiral phase elements that generate ring-shaped beams required by AMOL.
by Hsin-Yu Sidney Tsai.
S.M.
Estilos ABNT, Harvard, Vancouver, APA, etc.
36

Shavdina, Olga. "Micro-nano-structuration de surface par renforcement local du flux électromagnétique". Thesis, Lyon, 2016. http://www.theses.fr/2016LYSES076/document.

Texto completo da fonte
Resumo:
Cette thèse présente les résultats théoriques et expérimentaux de l’interaction entre une onde plane et une monocouche de particules sub-microniques sphériques/non sphériques transparentes au champ optique. Un renforcement local du champ optique sous la particule peut être observé, menant à la formation d’une concentration d’énergie appelée «nanojet photonique». Une étude théorique de la répartition du champ électromagnétique sous les microparticules et le choix des conditions optimales, nous a permis d’exploiter ce nanojet comme un outil de micro-nano-structuration. Dans le cadre de cette thèse, une structuration périodique 2D d’un matériau photosensible à base de TiO2 déposé sur divers substrats a été effectuée par la technique de photolithographie colloïdale. En utilisant ce matériau, cette approche permet en une seule étape de conduire à une couche fonctionnelle, stable mécaniquement et chimiquement. Enfin, cette thèse présente quelques pistes d’exploitation et de perspectives de l’utilisation du phénomène de concentration d’une onde incidente par les microparticules. Plus précisément, cette microstructuration peut être utilisée pour des fonctions de piégeage optique, pour de la croissance localisée de matériaux fonctionnels ou encore pour augmenter l’activité de photocatalyse de couches actives
This PhD thesis presents the theoretical and experimental results of the interaction between a plane wave and a monolayer of spherical / non-spherical submicron particles that are transparent to the optical field. Local amplification of the optical field under the particle can be observed. This amplification of electromagnetic field is called "photonic nanojet". A theoretical study of nanojet under the microparticles and the choice of optimal conditions, allowed us to exploit this nanojet as a micro-nano-structuring tool. A 2D periodic structuring of a TiO2-based photosensitive material deposited on various substrates was carried out by the colloidal photolithography technique. By using this TiO2-based photosensitive material, this approach makes possible in a single step to produce a functional layer. Finally, this thesis presents some opportunities to exploit the phenomenon of concentration of an incident wave by the microparticles. More precisely, this microstructuration can be used for optical trapping functions, for the localized growth of functional materials or for increasing the photocatalytic activity of active layers
Estilos ABNT, Harvard, Vancouver, APA, etc.
37

Claveau, Guillaume. "Etude d’une lithographie ligne/espace innovante par auto-assemblage dirigé d’un copolymère à blocs pour la réalisation de dispositifs CMOS sub-20nm". Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT091/document.

Texto completo da fonte
Resumo:
Dans le contexte d’une miniaturisation des circuits imprimés dans l’industrie de la microélectronique, les méthodes de structuration optiques appelées photolithographies arrivent en limite de résolution. L’utilisation complémentaire de l’auto-assemblage dirigé (DSA) de copolymère à blocs (CPB) permet de repousser les dimensions critiques (CD) atteignables tout en multipliant la densité des structures obtenues. Ces matériaux peuvent en effet former des motifs géométriques biphasés et périodiques de dimensions inférieures à la vingtaine de nanomètre. Rapides, bas coût et compatibles avec les équipements déjà disponibles dans l’industrie, les différents procédés DSA pour application ligne/espace développés dans la littérature se heurtent néanmoins à des problématiques de défectivité, de rugosité et d’uniformité des dimensions transférées. La plupart des solutions envisagées se font au détriment des arguments de base en faveur du DSA, notamment en ce qui concerne sa compatibilité avec la lithographie actuellement utilisée dans l’industrie. Dans ce contexte, le travail de thèse présenté ici s’attachera à étudier et solutionner les différentes problématiques liées à l’utilisation en graphoépitaxie du DSA comme solution complémentaire à la lithographie conventionnelle. Cette thèse centrée sur le matériau PS-b-PMMA s’est tout d’abord attachée à la compréhension des mécanismes impliqués dans la structuration de ces domaines lamellaires dans deux environnements. En configuration plane tout d’abord, l’impact des paramètres dictant la morphologie, l’orientation et la défectivité du CPB est étudié. La cinétique d’organisation des micro domaines peut alors être optimisée pour obtenir des motifs les mieux définis et les plus stables possibles, en un minimum de temps. Des optimisations matériaux proposées par la société partenaire ARKEMA sont évaluées comme prometteuses, et l’importance du contrôle de l’atmosphère sur le comportement du CPB en température est étudiée. En utilisant ces premiers acquis, le matériau est utilisé pour réaliser la densification de motifs « guides » ligne/espace préalablement réalisés par lithographie optique. L’étude de la morphologie adoptée par le polymère en fonction des multiples paramètres du guide (dimension, chimie d’interface, taux de remplissage…) permet de délimiter des fenêtres de fonctionnement pour un procédé stable sur plaque 300mm. La dimension de ces fenêtres est confirmée par une étude statistique suivant les métriques de défectivité et de rugosité, mesurées par un protocole de métrologie développé pendant cette thèse. Cette première étude a fait l’objet d’une publication d’un papier. Dans un effort de démonstration de l’intérêt de ce procédé, son intégration dans un empilement de réalisation de transistors en nanofils est réalisée. De premiers essais de transfert révèlent des problématiques de défectivités locales jusqu’alors masquées par l’épaisseur du film. Les méthodes de transferts disponibles étant incapables de corriger ces défauts, une variante du procédé DSA est développée. Elle repose sur la possibilité de modifier sélectivement les énergies de surfaces par application d’une dose contrôlée de lumière UV. Une étude associant à la fois la modification de la morphologie du PS-b-PMMA et la composition du matériau (suivie par spectroscopie infrarouge) en fonction de cette dose d’insolation révèle qu’un phénomène de photo-oxydation est responsable de ces phénomènes. Grâce à cette méthode, qui fait l’objet d’une publication en cours de soumission, les surfaces directement en contact avec le CPB sont modifiées de façon à le contraindre à adopter des configurations morphologiques sans défauts enterrés. Ce résultat est confirmé par les différentes étapes de transfert qui permettent de réaliser les nanofils désirés avec des dimensions maîtrisées. Des problématiques d’uniformité de remplissage sont toutefois adressées car elles restent un obstacle des nanofils uniformes à travers une plaque 300mm
There is a fixed limit to the maximum resolution the photolithography can provide in the context of the integrated circuit’s size reduction encouraged by the microelectronic industry. The Directed Self-Assembly (DSA) of bloc copolymers (BCP) can be used as a complementary technique enabling smaller critical dimensions of features (CD) obtained by density multiplication of initial, loose i193 lithography patterns. These materials can undergo specific phase separation to self-assemble into periodic, sub-20nm ordered nanostructures.Fast, cost-efficient and highly compatible with equipment and techniques already in use in the industry for line/space (L/S) applications, the different DSA processes found in literature still suffer from defectivity, roughness and CD uniformity (CDU) issues. Most successful solutions are made possible at the loss of some of the most appealing DSA features, mainly its compatibility with current i193 lithography. In this context, the work of this thesis studied and proposed innovative solutions to the problematics posed when using graphoepitaxy as the DSA complementary technique.This work presented therein - revolving around a 38nm period lamellar PS-b-PMMA material - first tried to comprehend the mechanisms involved in the self-assembly of lamellae in one of two environment: flat configuration and 3D, graphoepitaxy configuration. In the former, a study of the parameters dictating the morphology, orientation and defect levels of the BCP was performed. This provides a mean to optimize the kinetics of self-assembly to last less than five minutes while enabling stable and reproducible morphology. Materials optimization and atmosphere composition’s impact during annealing is also discussed. This initial knowledge is then used to perform the density multiplication of L/S guiding pattern using conventional optical lithography at Leti. The study of the lamellae morphology as a function of the multiples guiding patterns’ parameters (CD, interface chemistries, thickness levels…) provides fixed process windows (PW) for a stable process over a 300mm wafer. The shape and size of these PWs is further confirmed by a statistic study of defectivity and roughness metrics as defined by a specific metrology protocol developed during this thesis. This work has led to the publication of a paper.In an effort to demonstrate its relevance in the industry, full integration of this DSA process is carried out in pursuit of functional stacked nanowire (NW) transistors acquisition. First etching tests failed though, as they revealed unknown defective formation of the lamellae at the buried interface. The etching process Leti available at Leti proved enable to compensate for these local variations of transfer features. Consequently, a new iteration of the DSA process is presented. It consists in using UV light exposure to selectively shift the interfacial energies of the guiding patterns’ surfaces. A study of the shift in both the observed lamellae morphology and the composition of the material (followed by Infrared Spectroscopy) as a function of the UV dosage is performed. It identifies a photo-oxidation mechanism which can be finely tuned to independently promote defect-free alignment of the BCP lamellae with any of the guiding pattern surfaces. This work, currently awaiting publication, is further verified by the different etching steps achieving monocrystalline silicon nanowires of controlled dimensions. The associated transistors are now being submitted to electrical characterization. Full wafer uniformity of features is a work in progress however, as BCP thickness filling of guiding patterns is still highly dependent on their density
Estilos ABNT, Harvard, Vancouver, APA, etc.
38

Sekkaki, Noureddine. "Etude theorique et experimentale de la nanolithographie par electrons". Toulouse 3, 1987. http://www.theses.fr/1987TOU30147.

Texto completo da fonte
Resumo:
Dans le cadre de ce memoire nous avons etudie sur le plan theorique l'influence de la tension acceleratrice des electrons incidents sur les dimensions des traces obtenus. En s'appuyant sur des resultats obtenus anterieurement nous avons simule pour une methode de monte-carlo, les trajectoires des particules incidents. Nous avons pu ainsi localiser l'energie deposee par les electrons incidents les electrons retrodiffuses par le substrat et les electrons secondaires. L'ensemble des resultats montre l'interet theorique presente par l'emploi de tensions plus elevees (100 kev) que celles habituellement utilisees en microlithographie (20 a 50 kev). Afin de preciser les differents mecanismes intervenant dans la modification de la resine nous avons etudie a l'aide d'un analyseur de pertes d'energie des electrons l'evolution au cours de l'irradiation du spectre obtenu. Les performances obtenues sont illustrees par differentes gravures representant le trace de motifs realises dans une couche de pmma de 0,5 micron d'epaisseur, avec des lignes de 0,4 micron de large
Estilos ABNT, Harvard, Vancouver, APA, etc.
39

Bhaswara, Adhitya. "Fabrication of suspended plate MEMS resonator by micro-masonry". Thesis, Toulouse 3, 2015. http://www.theses.fr/2015TOU30325/document.

Texto completo da fonte
Resumo:
L'impression par transfert, une technique utilisée pour transférer divers matériaux tels que des molécules d'ADN, de la résine photosensible ou des nanofils semi-conducteurs, s'est dernièrement révélée utile pour la réalisation de structures de silicium statiques sous le nom de micro-maçonnerie. L'étude présentée ici explore le potentiel de la technique de micro-maçonnerie pour la fabrication de résonateurs MEMS. Dans ce but, des microplaques de silicium ont été transférées sur des couches d'oxyde avec cavités intégrées à l'aide de timbres de polymère afin de créer des structures de type plaques suspendues. Le comportement dynamique de ces structures passives a été étudié sous pression atmosphérique et sous vide en utilisant une excitation externe par pastille piézo-électrique mais aussi le bruit thermomécanique. Par la suite, des résonateurs MEMS actifs, à actionnement électrostatique et détection capacitive intégrés, ont été fabriqués en utilisant des étapes supplémentaires de fabrication après impression. Ces dispositifs ont été caractérisés sous pression atmosphérique. Les facteurs de qualité intrinsèques des dispositifs fabriqués ont été évalués à 3000, ce qui est suffisant pour les applications de mesure à pression atmosphérique et en milieu liquide. Nous avons démontré que, puisque l'adhérence entre la plaque et l'oxyde est suffisamment forte pour empêcher une diaphonie mécanique entre les différentes cavités d'une même base, plusieurs résonateurs peuvent être facilement réalisés en une seule étape d'impression. Ce travail de thèse montre que la micro-maçonnerie est une technique simple et efficace pour la réalisation de résonateurs MEMS actifs de type plaque à cavité scellée
Lately, transfer printing, a technique that is used to transfer diverse materials such as DNA molecules, photoresist, or semiconductor nanowires, has been proven useful for the fabrication of various static silicon structures under the name micro-masonry. The present study explores the suitability of the micro-masonry technique to fabricate MEMS resonators. To this aim, silicon microplates were transfer-printed by microtip polymer stamps onto dedicated oxide bases with integrated cavities in order to create suspended plate structures. The dynamic behavior of fabricated passive structures was studied under atmospheric pressure and vacuum using both external piezo-actuation and thermomechanical noise. Then, active MEMS resonators with integrated electrostatic actuation and capacitive sensing were fabricated using additional post-processing steps. These devices were fully characterized under atmospheric pressure. The intrinsic Q factor of fabricated devices is in the range of 3000, which is sufficient for practical sensing applications in atmospheric pressure and liquid. We have demonstrated that since the bonding between the plate and the device is rigid enough to prevent mechanical crosstalk between different cavities in the same base, multiple resonators can be conveniently realized in a single printing step. This thesis work shows that micro-masonry is a powerful technique for the simple fabrication of sealed MEMS plate resonators
Estilos ABNT, Harvard, Vancouver, APA, etc.
40

Bazin, Damien. "Structuration de surfaces organiques et inorganiques par lithographie électro-colloïdale : principe et applications". Thesis, Bordeaux 1, 2012. http://www.theses.fr/2012BOR14684/document.

Texto completo da fonte
Resumo:
De nombreuses techniques de lithographie sont proposées aujourd'hui pour structurer des surfaces à l'échelle micrométrique et nanométrique. Parmi elles, la lithographie colloïdale est intéressante en raison notamment du faible coût du procédé. Dans cette thèse, nous avons développé une nouvelle technique appelée « lithographie électro-colloïdale » qui est basée sur l'utilisation de particules colloïdales soumises à des champs électriques continus et alternatifs. Avec des temps de préparation courts et une instrumentation peu coûteuse, des surfaces structurées polymériques et métalliques ont été produites puis testées pour différentes applications (immobilisation de protéines, réseaux de microélectrodes, surfaces superhydrophobes)
Many lithography techniques have been developed to structure surfaces at the micrometer and sub-micrometer ranges. Among them, colloidal lithography is interesting because the process is inexpensive and does not require the use complex instruments. In this thesis, we have developed a new technique called « electro-colloidal lithography » which is based on the use of colloidal particles organized using alternating and direct electric fields. With short preparation times and inexpensive instruments, polymeric and metallic structured surfaces have been prepared and tested for different applications (protein immobilization, microelectrode arrays, superhydrophobic surfaces)
Estilos ABNT, Harvard, Vancouver, APA, etc.
41

Sassine, Gilbert. "Etude du transport et du bruit dans les couches 2D de nanotubes de carbone". Thesis, Montpellier 2, 2012. http://www.theses.fr/2012MON20182/document.

Texto completo da fonte
Resumo:
Les travaux de la thèse ont porté sur l'étude, la réalisation, la caractérisation et la modélisation de films 2D à base de nanotubes de carbone. Dans le premier chapitre nous avons présenté des généralités sur les nanotubes de carbone. Ensuite, nous nous sommes intéressés aux jonctions nanotube-nanotube et plus particulièrement à la modélisation du transport dans les différents types de jonction (M/M), (M/SC) et (SC/SC). Avec le deuxième chapitre nous avons entamé l'étude des films 2D à base de nanotubes de carbone. Dans un premier temps nous nous sommes intéressés au transport électrique dans ces structures fortement inhomogènes, en particulier en décrivant les modèles analytiques rendant compte du phénomène de percolation tant au niveau de la conductance que du bruit en 1/f. La seconde partie du chapitre est entièrement consacrée à la fabrication et la caractérisation physico-chimique des films 2D L'objectif principal du troisième chapitre est la modélisation des films 2D de nanotubes de carbone. Par rapport aux autres modèles utilisés dans la littérature, le modèle développé dans cette partie est le seul à prendre en compte la nature physique de chaque jonction tube-tube : (M/M) ou (M/SC) ou (SC/SC). Notre modèle prend ainsi en compte les non linéarités des jonctions. La résolution numérique de ce système est optimisée : i) en utilisant la technique MNA, technique dont le principe consiste à linéariser chaque dipôle du circuit. ii) en parallélisant les calculs sur un cluster informatique d'une centaine de cœurs. Pour le calcul du bruit la même technique est utilisée mais avec, dans ce cas, l'utilisation de la méthode du réseau adjoint. Dans le quatrième chapitre, nous avons, dans un premier temps, présentés et analysés nos résultats expérimentaux concernant la mesure de la conductance et du bruit en 1/f. Quelles que soient les conditions de dépôt nous avons toujours observé un comportement de type percolation au niveau des grandeurs mesurées, conductance et niveau de bruit en 1/f. Nous avons utilisé les paramètres d'ajustement des lois de percolation pour comparer et analyser nos résultats. Il en ressort que l'impact du surfactant sur l'homogénéité de la solution, se retrouve au niveau des résultats électriques des couches déposées, montrant l'avantage d'utiliser du sel biliaire. Quant à l'influence de la densité des tubes, comme attendu, la conductance augmente avec celle-ci. Par contre nous avons remarqué que le bruit en 1/f était beaucoup plus sensible à ce paramètre, avec en particulier un changement significatif au niveau des paramètres de percolation en bruit mis en évidence à forte densité de nanotubes. La deuxième partie de ce chapitre est dédiée à la simulation des paramètres électriques de nos structures expérimentales. Nous avons paramétré l'énergie et la largeur des barrières de potentiel entre chaque jonction. Ces paramètres sont ajustés à partir des résultats expérimentaux et sont fonction de la nature du surfactant. Les résultats de ces simulations concernant la conductance et le niveau de bruit en 1/f s'accordent avec les mesures et dans tous les cas les lois de percolation macroscopique sont respectées, ce qui valide nos modèles ainsi que la possibilité d'intégrer de façon réaliste la différence structurale des surfactants. Pour rendre compte de la déviation de la loi macroscopique de percolation du bruit en 1/f, observée sur les films déposés à partir de solution à forte densité de surfactant, nous avons au niveau des simulations introduit et modulé le nombre d'amas (clusters) de nanotubes en fonction de la densité des couches. Là encore le bon accord observé avec les résultats expérimentaux nous permet de valider la présence d'inhomogénéités dues aux clusters de nanotubes dans nos dépôts
In this thesis we have focused on the fabrication, the characterization, and the modeling of 2D films based on carbon nanotubes.In the first chapter, we have presented general informations on carbon nanotubes. Then we are interested in the nanotube-nanotube junctions and particularly the modeling of transport in different types of junction (M/M), (M/SC) and (SC/SC).In the second chapter we have presented a study of 2D films based on carbon nanotubes. At first we present the electrical transport in these structures strongly inhomogeneous, especially in describing the analytical models accounting for the percolation phenomenon both in the conductance and 1/f noise. The second part of the chapter is devoted entirely to the manufacture and physico-chemical characterization of 2D films.The main objective of the third chapter is the modeling of 2D films of carbon nanotubes. Compared to other models described in the literature, the model developed in this section is the only one that take into account the physical nature of each tube-tube junction (M/M) or (M/SC) or (SC/SC). Our model takes into account the junction nonlinearity. The numerical solution of the system is optimized: i) using the MNA technique whose principle is to linearize each dipole in the circuit. ii) parallelizing computations on a computer cluster of a hundred core. For the noise simulation, the same technique is used but in this case, we have used the adjoint network method. In the fourth chapter, we have, at first, presented and analyzed our experimental results for conductance and 1/f noise. Whatever the deposition conditions we always observed a percolation-like behavior of our results. We used the fitting parameters of the percolation laws to compare and analyze our results. It appears that the impact of the surfactant on the homogeneity of the solution is found in the electrical measurement results of deposited films. As for the influence of the density of the tubes, as expected, the conductance increases with the increase of nanotubes density. We noticed that the 1/f noise was much more sensitive to this parameter, with in particular a significant change in the noise percolation parameters revealed at high density of nanotubes. The second part of this chapter is dedicated to the simulation of the electrical parameters of our experimental structures. These parameters are adjusted on the basis of experimental results and are based on the nature of the surfactant. The results of these simulations for the conductance and 1/f noise agree with measurements and in all cases the macroscopic percolation laws are respected, which validate our models. To bring to the fore the deviation from the noise percolation law observed in films deposited from solution with a high density of surfactant, we have introduced in our simulated structures a number of clusters of nanotubes according to the density of the deposited layers. Once again we observed a good agreement with the experimental results allowing us to validate the presence of clusters of nanotubes in our deposited films
Estilos ABNT, Harvard, Vancouver, APA, etc.
42

Gâté, Valentin. "Ecriture de motifs périodiques submicrométriques sur films TiO2 sol-gel par lithographie interférométrique dynamique sur de grandes surfaces". Phd thesis, Université Jean Monnet - Saint-Etienne, 2013. http://tel.archives-ouvertes.fr/tel-01073314.

Texto completo da fonte
Resumo:
Cette thèse présente l'écriture de motifs périodiques 1D ou 2D, sur des films sol-gel réalisés par lithographie interférométrique dynamique. Elle a pour objectif la fabrication d'éléments optiques diffractifs dont les propriétés sont utilisées dans le traitement de la lumière visible et du proche infrarouge. Les outils technologiques compatibles nécessaires à l'obtention de ces éléments optiques ont été développés durant la thèse en combinant des matériaux fonctionnels, comme le TiO2, apportés par la voie sol-gel à une technique de lithographie permettant une microstructuration directe sur de grande surface. Ces deux technologies ont été transférées du laboratoire à un équipement semi-industriel avec succès. Cette étude a été guidée par une application des réseaux de diffraction aux modules solaires photovoltaïques. Un démonstrateur de module à déflecteurs diffractant, permettant d'augmenter le rendement global du module, a été produit et testé durant la thèse : les résultats ont été comparés aux simulations effectuées en amont
Estilos ABNT, Harvard, Vancouver, APA, etc.
43

Toublan, Olivier. "Les effets de proximité en microlithographie : caractérisation et études des méthodes de correction". Grenoble INPG, 1999. http://www.theses.fr/1999INPG0027.

Texto completo da fonte
Resumo:
Dans l'approche actuelle du design des circuits et des masques, une des hypotheses de base est la suivante : un motif sur le masque sera transfere a l'identique sur la plaquette. On se rend compte tous les jours que ce postulat est faux et on observe, apres les etapes de photolithographie et de gravure, des differences plus ou moins grandes, entre le dessin du masque et le resultat sur la plaquette. Ces effets sont d'autant plus marques que les technologies fabriquees sont petites. Les consequences peuvent etre plus ou moins penalisantes, allant d'une diminution des performances ou une perte de rendement, jusqu'a une defaillance totale du circuit. Certains de ces effets peuvent dans certains cas etre corriges par une amelioration des procedes de fabrication. Ce n'est toutefois pas toujours le cas, soit parce que la modification est impossible, ou trop couteuse a mettre en place, soit parce qu'il s'agit d'une limitation physique inherente a l'etape de procede. Une technique, la correction des effets de proximite, commence a etre utilisee de plus en plus. Elle consiste a prendre en compte les deviations systematiques provoquees par les etapes de photolithographie et de gravure et a appliquer au motif sur le masque une pre-distorsion volontaire qui compensera la deformation induite lors du transfert sur la plaquette. Apres avoir presente les origines et quantifie les differents effets de proximite, les problemes lies a l'introduction d'une etape de correction dans la chaine de conception des masques sont presentes. Les differentes techniques de correction ainsi que l'evaluation de leur efficacite sont ensuite analysees. Nous montrons en definitive que l'application de cette technique de correction necessite une forte interaction entre les differents intervenants de la chaine de conception des masques a savoir, les designers, les technologues, et les fabricants de masque.
Estilos ABNT, Harvard, Vancouver, APA, etc.
44

Rius, Suñé Gemma. "Electron beam lithography for Nanofabrication". Doctoral thesis, Universitat Autònoma de Barcelona, 2008. http://hdl.handle.net/10803/3404.

Texto completo da fonte
Resumo:
La litografía por haz de electrones (Electron Beam Lithography, EBL) se ha consolidado como una de las técnicas más eficaces que permiten definir motivos en el rango nanométrico. Su implantación ha permitido la nanofabricación de estructuras y dispositivos para su uso en el campo de la nanotecnología y la nanociencia.
La EBL se basa en la definición de motivos submicrónicos mediante el rastreo de un haz energético de electrones sobre una resina. La naturaleza de los electrones y el desarrollo the haces extremadamente finos y su control preciso establecen la plataforma ideal para los requerimientos de la Nanofabricación. El uso de la EBL para el desarrollo de un gran número de nanoestructuras, nanodispositivos y nanosistemas ha sido, y continúa siendo, crucial para las aplicaciones de producción de máscaras, prototipaje o dispositivos discretos para la investigación fundamental. Su éxito radica en la alta resolución, flexibilidad y compatibilidad de la EBL con otros procesos de fabricación convencionales.
El objetivo de esta tesis es el avance en el conocimiento, desarrollo y aplicación de la EBL en las areas de los micro/nanosistemas y la nanoelectrónica. El presente documento refleja parte del trabajo realizado en el Laboratorio de Nanofabricación del Instituto de Microelectrónica de Barcelona IMB-CNM-CSIC durante los últimos cinco años. Debido a la falta de experiencia previa en el IMB en la utilización de la EBL, ha sido necesario el desarrollo y consolidación de una serie de procesos, lo que ha condicionado parcialmente la investigación, tal y como recoge la memoria.
Entre los aspectos relevantes compilados en esta tesis, en cuanto a innovación tecnológica, cabe destacar diversos avances en procesos tecnológicos basados en la EBL. Una nueva resina de tono negativo ha sido caracterizada y disponible para su uso en nanofabricación. La optimización de la EBL se ha llevado a cabo mediante métodos de corrección del efecto de proximidad. Se ha establecido el proceso de integración de estructuras nanomecánicas en circuitos CMOS, así como la fabricación de dispositivos basados en nanotubos de carbono. En concreto, el primer FET basado en un sólo nanotubo de carbono fabricado en España. Finalmente, la compatibilidad y viabilidad de los métodos de fabricación basados en haces de partículas se ha estudiado mediante el análisis del efecto de los haces de partículas cargadas sobre dispositivos. Por otro lado, esta memoria no sólo contiene la descripción de los principales resultados obtenidos, sinó que pretende aportar información general sobre procesos de nanofabricación basados en haces de electrones para ser utilizados en futuras investigaciones de este area.
Electron beam lithography (EBL) has consolidated as one of the most common techniques for patterning at the nanoscale meter range. It has enabled the nanofabrication of structures and devices within the research field of nanotechnology and nanoscience.
EBL is based on the definition of submicronic features by the scanning of a focused energetic beam of electrons on a resist. The nature of electrons and the development of extremely fine beams and its flexible control provide the platform to satisfy the requirements of Nanofabrication. Use of EBL for the development of a wide range of nanostructures, nanodevices and nanosystems has been, and continues to be, crucial for the applications of mask production, prototyping and discrete devices for fundamental research and it relies on its high resolution, flexibility and compatibility with other conventional fabrication processes.
The purpose of this thesis is to advance in the knowledge, development and application of electron beam lithography in the areas of micro/nano systems and nanoelectronics. In this direction, this memory reflects part of the work performed at the Nanofabrication Laboratory of the IMB-CNM. Since there was no previous experience on EBL at CNM, the need for developing a set of processes has determined partially the work.
The variety of topics that concern to nanoscience and nanotechnology is enormous. Chapter 1 briefly sintetizes nanoscale related aspects. This section aims to frame the contents of this thesis, coherently. Also for completeness, it is intended to address the specific subjects under discussion or contained in the following chapters and it is based or oriented to the experimental results that will be presented.
Chapter 2 is a general overview of the EBL technique from the point of view of the system and the physical interaction of the process. In particular, the characteristics of the SEM and specifications of the lithographic capabilities of the system that is used are presented.
In chapter 3, irradiation effect on resists is studied. The chemical behaviour of different polymeric materials is correlated with theoretical simulations for two types of resists: methacrylic based positive resists and epoxy based negative resists. The first is used for validation of the modelization and to describe the general performance of EBL on different conditions. The second covers the experiments oriented to establish the performance parameters of a new resist and comparison with another existing negative electron beam resist. Proximity effect correction concludes with the correlation of theory and experimental results for both types of resists, positive and negative.
Chapter 4 is an example of the fabrication and optimization of a micro/nanosystem for sensing at the nanoscale. In particular, nanoresonators are developed with two approaches (EBL and FIB) and enhanced response is achieved by their integration on CMOS circuitry.
Chapter 5 presents carbon nanotube (CNT) based devices that are realized and implemented for applications in nanoelectronics and sensing. First, different fabrication approaches for contacting CNTs are discussed. Then, the results of electrical characterization of the devices are presented. Finally, technology development for the use of these devices for sensing is established.
The last chapter embraces all the previous sections and pays attention to the effect of electron beam on the devices. In particular, electron induced effect is studied on nanomechanical structures integrated in circuits and CNT based devices, in order to evaluate EBL based fabrication, SEM characterization or more fundamental aspects. Advanced characterization techniques are used together with simulations, both assessing a deeper understanding of the results. Electrical measurements and AFM based techniques are used to characterise the effect of the electron irradiation by changes in their performance characteristics, charging, surface potential imaging, etc.
Main results and solved challenges are summarized in the conclusive chapter 7 that finishes with this document.
Estilos ABNT, Harvard, Vancouver, APA, etc.
45

Harris, Lee George. "Physicochemical lithography of functional nanolayers". Thesis, Durham University, 2006. http://etheses.dur.ac.uk/9358/.

Texto completo da fonte
Resumo:
To further understand the biological interactions that govern our daily lives it is essential to develop new techniques for the robust tethering of immobilized bio-molecules to substrates for applications such as bio-mimicry, diagnostics, and durability as well as further self assembly. Current technologies devised for this purpose include the functionalization and lithography of Langmuir-Blodgett films, self-assembled monolayers and spin-coated layers. Whilst these methods provide suitable surfaces, they suffer from being substrate dependent and inappropriate for complex 3D-geometries, thus prohibiting their application to a wide range of materials. Pulsed plasma polymerised films can overcome this hurdle and are utilised in this thesis to present amine, epoxide, thiol and protein resistant Interfaces. For instance, genomic an-ays have been created via di-sulfide bridge formation between DNA and thiol groups. Whilst proteomic arrays have been fabricated either via electrostatic immobilization of proteins to charged regions surrounded by a protein resistant background, or alternately, covalent attachment to epoxide surface groups. Similarly, glycomic arrays have been produced by the covalent attachment of D-maltose and p-D-galacto-methanethiosulfonate to amine and thiol surface groups respectively. Furthermore, it has been shown that sequential plasmachemical nanolayering can provide a passivated upper layer and a reactive underlayer which can be subsequently exposed via mechanical removal of the top layer, to yield reactive pixels on the micron and nano-scale. Finally, the substrate independent nature of plasma polymers has been utilised for the coating of compact disc surfaces with reactive nanolayers. Subsequent protein immobilization has been accomplished via Inkjet printing and has shown promise for potential use as in point-of-care diagnostics.
Estilos ABNT, Harvard, Vancouver, APA, etc.
46

Hubbard, Graham John. "Nanoimprint lithography using disposable masters". Thesis, University of Bath, 2011. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.576992.

Texto completo da fonte
Resumo:
A novel imprint process, called Disposable Master Technology has been developed using disposable masters replicated from nickel masters using roll-to-roll printing. The disposable masters consist of a polyester terephthalate film coated with a photosensitive resin containing the inverse structure of the nickel master. The use of hydrophobic and oleophobic additives was found to improve release after imprinting. This has enabled structures of deeply submicron periodicity to be imprinted on silicon wafers up to 4" diameter with good reproducibility. Resist systems have been developed based on urethane acrylates plus a resist based on Oxetanyl Silsesquioxane which contains silicon for improved etch resistance, useful when transferring the imprinted structures into the substrate by reactive ion etching. The addition of fluorinated acrylates has been shown to improve the substrate coverage during spin coating and to ease disposable master release after imprinting. Silicone acrylate, used as an additive was found to improve the etch resistivity as well as also easing disposable master release. The generation of disposable masters from anodic porous alumina has been investigated. Aluminium sample pre-treatment has been optimized for 2 inch diameter aluminium discs to produce 100 nm and 200 nm spaced pores of 180 to 500 nm depth with conical or cylindrical shape. The self-ordered porous alumina has beef! replicated on to PET film creating polymer nanopillars of down to 50 nm in diameter. The resulting nanostructured polymer films can act as anti-reflection coatings. The angle dependent transmission of polymer films has been found to increase transmission by up to 2% at a normal angle of incidence and by 5% at 70Q, when compared to a control sample. Highly ordered mono-domain porous alumina templates were also demonstrated by pre- texturing the aluminium surface using disposable master technology, to provide another method of fabricating master moulds for disposable master technology
Estilos ABNT, Harvard, Vancouver, APA, etc.
47

Konijn, Mark. "Multilevel Nanoengineering for Imprint Lithography". Thesis, University of Canterbury. Electrical and Computer Engineering, 2005. http://hdl.handle.net/10092/1071.

Texto completo da fonte
Resumo:
The current trend in pushing photo lithography to smaller and smaller resolutions is becoming increasingly difficult and expensive. Extreme ultra-violet lithography is an alternate method that has the potential to provide feature sizes down to 30 nm, however, it will come at an even greater cost. Nanoimprint lithography (NIL) is another lithographic technique which is promising to provide very high resolutions at a relatively low cost. Imprinting works by using a mold with a surface patterned with the required nano structures and pressing it into a substrate coated with a deformable polymer. Due to its direct pattern replication technique, it is very capable of reproducing three-dimensional structures, however limited research has been performed on this to date. In this study, investigations have been performed into developing a reliable process for creating SiN molds with sub-100 nm structures with variable height control. The process relies on a negative tone electron beam resist which can be patterned to various thicknesses by varying the exposure dosage. This allows for the creation of complex multi-layer structures in a single electron beam lithography step. These patterns then have been transferred into the SiN substrate by a single reactive ion etch. From here the mold is ready for use in imprinting. Study has also been performed into imprinting process as well. This includes the development of an imprint press, the manner in which NIL works. Investigations have been performed into the imprinting performance of 3D molds. Thermal expansion issues have been found and addressed, as have adhesion problems. Some other aspects of 3D NIL which have not been addressed in this study have been outlined in future work for further investigation.
Estilos ABNT, Harvard, Vancouver, APA, etc.
48

Young, Richard James Hendley. "Electroluminescent devices via soft lithography". Thesis, Brunel University, 2017. http://bura.brunel.ac.uk/handle/2438/17139.

Texto completo da fonte
Resumo:
This thesis provides a compendium for the use of microcontact printing in fabricating electrical devices. Work has been undertaken to examine the use of soft lithographic techniques for employment in electronic manufacture. This thesis focusses on the use of high electric field generators as a means to producing electroluminescent devices. These devices provide a quantifiable output in the form of light. Analysis of the electrical performance of electrode structures can be determined by their success at producing light. A prospective reduction in driving voltage would deem these devices more efficient, longer lasting and an improvement on current specification. The work focussed on the viability of using relatively crude print techniques to create high resolution structures. This was carried out successfully and demonstrated that lighting structures of 75 μm and 25 μm have been produced. Microcontact printing has been established as a method for patterning gold surfaces with a functionalising self-assembled monolayer using alkanethiol molecules. This layer is then utilised as an etch resist layer to expose gold tracks for use as electric field generator electrode arrays. Through careful analysis of each step of the printing process, techniques were developed and reported to create a robust and repeatable print mechanism for reliability and accuracy. These techniques were employed to optimise the print process culminating in the development of each stage and final electrode structures mounted on a rigid backplate for use as electroluminescent devices for characterisation. These devices were then modelled for their electrical characteristics and investigated for being used in low voltage application. In this case for the development of electroluminescent applications, a driving voltage of 65 V was achieved and represents a significant advance to the field of printed electronics and Electroluminescence.
Estilos ABNT, Harvard, Vancouver, APA, etc.
49

Farhoud, Maya S. (Maya Sami). "Interferometric lithography and selected applications". Thesis, Massachusetts Institute of Technology, 1997. http://hdl.handle.net/1721.1/10457.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
50

Liu, Dixi. "NEMS by sidewall transfer lithography". Thesis, Imperial College London, 2015. http://hdl.handle.net/10044/1/31868.

Texto completo da fonte
Resumo:
A batch fabrication process for nano-electro-mechanical systems (NEMS) based on sidewall transfer lithography (STL) is developed and demonstrated. The STL is used to form nanoscale flexible silicon suspensions entirely by conventional lithography. A two-step process is designed for single-layer STL to fabricate simple electrothermal actuators, while a three-step process is designed to allow nanoscale features intersecting with each other for more complicated device lay-outs. Fabricated nanoscale features has a minimum in-plane width of approx. 100nm and a high aspect ratio of 50 : 1. Combined structures with microscale and nanoscale parts are transferred together into silicon by deep reactive etching (DRIE). Suspensions are achieved either by plasma undercut or HF vapour etch based on BSOI. The STL processes are used to form nanoscale suspensions while conventional lithography is used to form localised microscale features such as anchors. A wide variety of demonstrator devices have been fabricated with high feature quality. Analytic models have been developed to compare with experimental characterization and finite element analysis (FEA) predictions. Lattice structures fabricated by multi-layer STL have also be investigated as a novel type of mechanical metamaterial. Thus, the process could allow low-cost and mass parallel fabrication of future NEMS with a wider range of potential applications.
Estilos ABNT, Harvard, Vancouver, APA, etc.
Oferecemos descontos em todos os planos premium para autores cujas obras estão incluídas em seleções literárias temáticas. Contate-nos para obter um código promocional único!

Vá para a bibliografia