Literatura académica sobre el tema "CMP polishing"

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte las listas temáticas de artículos, libros, tesis, actas de conferencias y otras fuentes académicas sobre el tema "CMP polishing".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Artículos de revistas sobre el tema "CMP polishing":

1

Lou, Chun Lan, Hai Yan Di, Qiang Fang, Tao Kong, Wei Feng Yao y Zhao Zhong Zhou. "Study on Groove Shape of CMP Polishing Pad: A Review". Advanced Materials Research 497 (abril de 2012): 278–83. http://dx.doi.org/10.4028/www.scientific.net/amr.497.278.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
In the chemical mechanical polishing process (CMP) ,the groove shape of polishing pad is one of the most critical elements that directly influences the quality and efficiency of CMP. This review paper describes the basic patterns of groove shape and that the patterns shape of polishing pad how to effect on quality and efficiency of CMP. The effect comparison between various sorts of groove shape and their effects on polishing is described. It is intended to help reader to gain a more comprehensive view on groove shape of polishing pad, and to be instrumental for research and development new groove shape of polishing pad for CMP.
2

Liu, Zhi Xiang, Jian Guo Yao, Song Zhan Fan y Jian Xiu Su. "Study on the Preparation Technology of Fixed Abrasive Polishing Pad in Chemical Mechanical Polishing". Applied Mechanics and Materials 602-605 (agosto de 2014): 485–88. http://dx.doi.org/10.4028/www.scientific.net/amm.602-605.485.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
According to the shortcomings of the traditional free abrasive chemical mechanical polishing (CMP), in recent years, the fixed abrasive chemical mechanical polishing (FA-CMP) technology is proposed. It is a new planarization technology developed on the basis of the traditional CMP. Pad is an important and dispensable part in FA-CMP. The cost and quality of FA-CMP pad are determined by the preparation technology. In order to study the FA-CMP pad of the low cost and high quality, in this paper, by reading a lot of literature, 5 kinds of preparation technology of FA-CMP pad are analyzed. Study results will provide some reference for further designing and manufacturing the FA-CMP pad.
3

Tso, Pei Lum, Shi Guo Liu y J. C. Wang. "The Development of an Ultrasonic Head for CMP Pad Conditioning". Advanced Materials Research 500 (abril de 2012): 275–80. http://dx.doi.org/10.4028/www.scientific.net/amr.500.275.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
The technology of ultrasonic assisted machining has been successfully used in many machining processes recently. Conditioning in the CMP not only can extending the life of the polishing pad but also improve process stability. In this paper we develop a brand new conditioning process with ultrasonic assisted conditioning UAC head for chemical mechanical polishing CMP process. The slurry came from inside the polishing spindle and had an independent cyclic system. As a result, this UAC device can remove polishing debris 4-6 times faster than conventional conditioning process. This conditioning process may even use water instead of slurry to reduce the cost of consumables of CMP. Key word: Chemical mechanical polishing CMP, Ultrasonic assisted conditioning UAC, Polishing Pad
4

Son, Jungyu y Hyunseop Lee. "Preliminary Study on Polishing SLA 3D-Printed ABS-Like Resins for Surface Roughness and Glossiness Reduction". Micromachines 11, n.º 9 (8 de septiembre de 2020): 843. http://dx.doi.org/10.3390/mi11090843.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
After the development of 3D printing, the post-processing of the 3D-printed materials has been continuously studied, and with the recent expansion of the application of 3D printing, interest in it is increasing. Among various surface-machining processes, chemical mechanical polishing (CMP) is a technology that can effectively provide a fine surface via chemical reactions and mechanical material removal. In this study, two polishing methods were evaluated for the reduction of surface roughness and glossiness of a stereolithography apparatus (SLA) 3D-printed ABS (acrylonitrile butadiene styrene)-like resin. Experiments were conducted on the application of CMP directly to the 3D-printed ABS-like resin (one-step polishing), and on the application of sanding (#2000) and CMP sequentially (two-step polishing). The one-step polishing experiments showed that it took a considerable period of time to remove waviness on the surface of the as-3D printed specimen using CMP. However, in the case of two-step polishing, surface roughness was reduced, and glossiness was increased faster than in the case of one-step polishing via sanding and CMP. Consequently, the experimental results show that the two-step polishing method reduced roughness more efficiently than the one-step polishing method.
5

Zhang, Hui, Zi Feng Ni y Qing Zhong Li. "A Fine Atomization CMP Slurry for Copper". Advanced Materials Research 279 (julio de 2011): 271–74. http://dx.doi.org/10.4028/www.scientific.net/amr.279.271.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
In this paper, a kind of alkaline slurry was introduced, in which silica was used as the abrasive, H2O2 was used as the oxidize, glycine was used as the complexing agent, azimidobenzene was used as the surfactant, and borax was used as the pH regulator. The atomization polishing method was used, and the effects of the traditional polishing and atomization polishing were compared. After the atomization polishing, the surface roughness of copper was 7.61 nm and the material removal rate was 188 nm/min; After the traditional polishing, the surface roughness was 15.22 nm and the material removal rate was 236 nm/min. The dosage of polishing slurry used in the atomization polishing is dozens of times less than that in the traditional polishing.
6

Zhang, Sheng Fang, Jian Xiu Su, Jia Xi Du y Ren Ke Kang. "Analysis on Contact Forms of Interface in Wafer CMP Based on Lubricating Behavior". Materials Science Forum 704-705 (diciembre de 2011): 313–17. http://dx.doi.org/10.4028/www.scientific.net/msf.704-705.313.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Chemical mechanical polishing (CMP) has become the most widely used planarization technology in the semiconductor manufacturing process. In this paper, the distinguish method of lubricating behavior in wafer CMP had been analyzed in theory firstly. Then, the tests of wafer CMP with silicon wafer and deposited copper wafer at different polishing pressure had been done. By the test results, the Stribeck curves obtained showed obvious smooth. But in normal wafer CMP conditions, the friction coefficient of polishing area was above 0.1. By analyzing the experimental results, it was concluded that the lubrication state in CMP interface is belong to the boundary lubrication and the material removal is the process of bringing and removed of the chemical reaction boundary lubricating film on wafer surface constantly. The contact form between the Wafer and the polishing pad is the solid-solid contact. These results will provide theoretical guide to further understand the material removal mechanism of in wafer CMP. Keywords: Chemical mechanical polishing, material removal mechanism, lubrication form, boundary lubrication.
7

Sugimoto, Taku, Seiichi Suda y Koichi Kawahara. "Change in Slurry/Glass Interfacial Resistance by Chemical Mechanical Polishing". MRS Advances 2, n.º 41 (2017): 2205–10. http://dx.doi.org/10.1557/adv.2017.335.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
ABSTRACTThe use of ceria abrasives in the chemical mechanical polishing (CMP) of glass allows us to prepare extremely smooth surfaces because it aides both the chemical and mechanical aspects of the polishing process. The mechanism of CMP has remained vague, but the redox of Ce4+/Ce3+ would play a major role for the formation of hydration layer by the chemical factors of CMP. This redox accompanies the process of the charge transfer at glass/slurry interface. Electron charge carrier would be important role in chemical polishing if the redox reaction occurs during polishing. We then prepared the polishing model that it is possible to estimate slurry resistivity and the interfacial area specific resistance (ASR). The effects of abrasive compositions and slurry solution on chemical factor of CMP were investigated to clarify CMP mechanism. The hydration layer forms as a result of the shear stress during polishing but is independent of polishing loads. The amount of hydration layer as well as removal rate was increasing with increasing lanthanum concentration dissolved in ceria lattice. Small amount addition of NH4NO3 increase electron charge carrier density in slurry and improves removal rate, but excess addition inhibited hydration reaction by steric hindrance.
8

Fang, Treliant, Ping Chung Chen y Ming Hsun Lee. "A New Permanganate-Free Slurry for GaN-SiC CMP Applications". Materials Science Forum 1004 (julio de 2020): 199–205. http://dx.doi.org/10.4028/www.scientific.net/msf.1004.199.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Single crystal SiC wafers are known to be extremely difficult to polish by conventional CMP slurries because of their high hardness and chemical resistance. Previously only those manganese-containing CMP slurries are capable of producing measurable and useful polishing rates with this versatile wide band-gap substrate. A new permanganate-free SiC polishing slurry containing a generic formula of MXO2 etchant, where M is an alkali metal, X is a halogen, O is oxygen is disclosed. When mixed with an abrasive powder in an aqueous slurry form, the tribochemical reactant that activates under pressure, etches SiC effectively, rendering an enhanced Material Removal Rate (MRR) when processing CMP SiC wafers. The MRR can sometimes go up to a few order of magnitudes, as compared to the abrasive slurry without these chemical etchants. The series of MXO2 compounds that can activate SiC polishing belong to the chemical family of halites. Sodium chlorite, NaClO2, the simplest and most available member of the halites family, is a good example. The accelerated polishing rates offer increased throughput of the slow SiC CMP process. The new slurry is particularly useful for non-oxide wafer polishing, which includes SiC, GaN and AlN wafers. An outstanding character of the new halite-based polishing formulation that is different from the current permanganate-based slurries is that the waste stream produced from the CMP process can be easily treated in the waste water treatment facilities because they do not contain toxic heavy metal ions such as manganese and permanganate in the polishing formulations. Continuous exhaustive CMP polishing test with 32 4” 4H-N SiC wafers using a production CMP tool containing 32L of the alumina-chlorite slurry has demonstrated an MRR of 1.7um/hr (Si-face) when the slurry is fresh, and a final MRR of 1.0um/hr after 16 hours polishing at 800mL/min slurry flow rate with pH buffer control without fresh oxidant addition. The resulting 32 polished 4H-SiC test wafers show overall excellent smooth surface roughness with the best Ra of 0.05nm by AFM after fine CMP polishing.
9

Guo, Zhi Xue, Jing Zhai, Hui Zhang y Qing Zhong Li. "The Effect of Speed Matching on the CMP Uniformity". Advanced Materials Research 189-193 (febrero de 2011): 4154–57. http://dx.doi.org/10.4028/www.scientific.net/amr.189-193.4154.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
In the chemical mechanical polishing process, the relationship of relative motion of polishing pad and polishing head plays very important role for CMP quality. This paper established the mathematical model in order to investigate the relative motion of polishing pad and polishing head. It was found that the speed ratio of polishing pad and polishing head shows great influence on the CMP uniformity. And when the value of speed ratio of relative rotation approaches 1.23, the distribution of abrasives’ trajectories is close and uniform. Theoretically, the surface quality of workpiece is better.
10

Zhang, Zhu Qing y Kang Lin Xing. "Study on 6H-SiC Crystal Substrate (0001) C Surface in FA-CMP Based on Diamond Particle". Applied Mechanics and Materials 727-728 (enero de 2015): 244–47. http://dx.doi.org/10.4028/www.scientific.net/amm.727-728.244.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Through experimental study on the role of the free abrasive in chemical mechanical polishing, in this paper, four different types of abrasive which were chosen were used for the research of material removal rate(MRR) and surface quality of SiC single crystal . Finally ,Diamond abrasive which is considered was the most suitable for chemical mechanical polishing(CMP) abrasive of SiC Crystal Substrate. With diamond Particle polish pad polishing, it is draw a comparison result on the influence of the free abrasive and consolidation abrasive for the material removal rate and surface quality of 6H-SiC. The results showed that: the MRR is 140nm / min, the material removal rate if fixed abrasive chemical mechanical polishing(FA-CMP) more than three times that of traditional CMP, fixed abrasive chemical mechanical polishing pad, are involved in a large proportion of micro abrasive cutting, can greatly improve the material removal efficiency. And results from the test procedure, the FA-CMP surface has scratches after more technical problems for the polishing pad, the surface damage is relatively free of abrasive chemical mechanical polishing is more serious.

Tesis sobre el tema "CMP polishing":

1

Ng, Dedy. "Nanoparticles removal in post-CMP (Chemical-Mechanical Polishing) cleaning". Thesis, Texas A&M University, 2005. http://hdl.handle.net/1969.1/4159.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Research was performed to study the particle adhesion on the wafer surface after the chemical-mechanical polishing (CMP) process. The embedded particles can be abrasive particles from the slurry, debris from pad material, and particles of film being polished. Different methods of particle removal mechanism were investigated in order to find out the most effective technique. In post-CMP cleaning, surfactant was added in the solution. Results were compared with cleaning without surfactant and showed that cleaning was more effective with the combined interaction of the mechanical effort from the brush sweeping and the chemistry of the surfactant in the solution (i.e., tribochemical interaction). Numerical analysis was also performed to predict the particle removal rate with the addition of surfactants. The van der Waals forces present in the wafer-particle interface were calculated in order to find the energy required to remove the particle. Finally, the adhesion process was studied by modeling the van der Waals force as a function of separation distance between the particle and the surface. The successful adaptation of elasticity theory to nanoparticle-surface interaction brought insight into CMP cleaning mechanisms. The model tells us that it is not always the case that as the separation distance is decreased, the attraction force will be increased. The force value estimated can be used for slurry design and CMP process estimation.
2

Born, Melanie P. (Melanie Providencia) 1975. "Ice and abrasive particles : an alternative CMP polishing technique". Thesis, Massachusetts Institute of Technology, 1998. http://hdl.handle.net/1721.1/9570.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Kumar, Akhauri Prakash. "Agent based diagnostic system for the defect analysis during chemical mechanical polishing (CMP)". Heimsheim Jost-Jetter, 2005. http://deposit.d-nb.de/cgi-bin/dokserv?idn=976561247.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Osorno, Andres. "Dynamic, In-Situ Pressure Measurements during CMP". Thesis, Georgia Institute of Technology, 2005. http://hdl.handle.net/1853/7497.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
A rotational setup for measuring interfacial fluid pressure and temperature was successfully constructed. Interfacial fluid measurements were performed with various slurries, slurry flow rates, and pad topographies. It was experimentally determined that the pad topography has the biggest effect in pressure and temperature distribution. This was also confirmed by tilt experiments ran in a rotational environment. For all cases, the edge high conditioned pad displayed the most changes during the experiments. For an edge high conditioned pad, the fluid pressure was found to be mostly subambient reaching levels of up to 42 kPa at the center of the fixture, and dissipating towards the edges. The pressure maps appear to be almost center symmetric. The pressure was found to be positive during the first second of contact, and rapidly turn subambient. The Subambient pressures stabilize after about 5 seconds, and their suction force was found to slow the rotating platen significantly. Suction forces were confirmed by displacement observed during the tilt experiments. The fixtures center was sucked down into the pad up to 20 m, and tends to tilt towards the leading edge. Interfacial temperatures were also found to vary with pad geometry. The edge-high conditioned pad exhibited changes of up to 4 C, concentrated at the center. The relative position and shape of these temperature rises matches the results observed in the pressure experiments. Temperature takes a longer time to reach equilibrium, up to 30 seconds in most measurements.
5

Sampurno, Yasa. "Fundamental Consumables Characterization of Advanced Dielectric and Metal Chemical Mechanical Planarization Processes". Diss., The University of Arizona, 2008. http://hdl.handle.net/10150/194544.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
This dissertation presents a series of studies relating to kinetics and kinematics of inter-layer dielectric and metal chemical mechanical planarization processes. These are also evaluated with the purposes of minimizing environmental and cost of ownership impact.The first study is performed to obtain the real-time substrate temperature during the polishing process and is specifically intended to understand the temperature distribution across the polishing wafer during the chemical mechanical planarization process. Later, this technique is implemented to study the effect of slurry injection position for optimum slurry usage. It is known that the performance of chemical mechanical planarization depends significantly on the polishing pad and the kinematics involved in the process. Variations in pad material and pad grooving type as well as pressure and sliding velocity can affect polishing performance. One study in this dissertation investigates thermoset and thermoplastic pad materials with different grooving methods and patterns. The study is conducted on multiple pressure and sliding velocity variations to understand the characteristic of each pad. The analysis method elaborated in this study can be applied generically.A subsequent study focuses in a slurry characterization technique. Slurry, a critical component in chemical mechanical planarization, is typically a water-based dispersion of fine abrasive particles with various additives to control material removal rate and microscratches. Simultaneous turbidity and low angle light scattering methods under well-defined mixing conditions are shown to quantify the stability of abrasive particle from aggregations. Further contribution of this dissertation involves studies related to the spectral analysis of raw shear force and down force data obtained during chemical mechanical planarization. These studies implemented Fast Fourier Transforms to convert force data from time to frequency domain. A study is performed to detect the presence of larger, defect-causing particles during polishing. In a further application on diamond disc conditioning work is performed to achieve optimum break-in time and an optimum conditioning duty cycle. Studies on spectral analysis are also extended to planarization of shallow trench isolation pattern wafers to monitor the polishing progress in real-time.
6

Choi, Changhoon. "Kinetic study of copper chemistry in chemical mechanical polishing (CMP) by an in-situ real time measurement technique". [Ames, Iowa : Iowa State University], 2008.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Palla, Byron Joseph. "Mixed surfactant systems to control dispersion stability in severe environments for enhancing chemical mechanical polishing (CMP) of metal surfaces". [Florida] : State University System of Florida, 2000. http://etd.fcla.edu/etd/uf/2000/ana6408/byronpalla.PDF.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Thesis (Ph. D.)--University of Florida, 2000.
Title from first page of PDF file. Document formatted into pages; contains xvii, 174 p.; also contains graphics. Vita. Includes bibliographical references (p. 165-173).
8

Zantye, Parshuram B. "Processing, Reliability And Integration Issues In Chemical Mechanical Planarization". [Tampa, Fla.] : University of South Florida, 2005. http://purl.fcla.edu/fcla/etd/SFE0001263.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Toth, Réka. "Mécanismes physico-chimiques du polissage". Thesis, Paris 6, 2016. http://www.theses.fr/2016PA066763.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Le polissage mécano-chimique (CMP pour Chemical Mechanical Polishing) consiste à appliquer une suspension colloïdale contenant des particules abrasives sur une surface solide (le substrat) au travers d’une pression exercée par un disque de polymère (le pad) en rotation. Un substrat de silice et des particules d’oxyde de cérium ont été choisis comme système de référence pour aider à la compréhension du mécanisme de polissage CMP. Des études macroscopiques ont été réalisées sur ce système afin d'étudier les effets de la concentration ou de la taille des particules abrasives, ainsi que l’influence du pH ou de la force ionique de la suspension de polissage. Le mécanisme a également été étudié à un niveau microscopique, en se concentrant sur les interactions entre le substrat et les particules abrasives. Cela a été effectué par une approche multi-instrumentale (zêtamétrie, ATR-FTIR, MET, SAXS, dosages chimiques, AFM). L’étude du polissage implique une bonne connaissance des surfaces en jeu, l'acido-basicité et l'oxydo-réduction des surfaces de CeO2 ont donc été étudiés. A partir de la compréhension acquise, des modifications des particules abrasives ont été réalisées (synthèse de particules cœur-coquilles et de solutions solides), et des particules de CeO2 de morphologies différentes testées
Chemical Mechanical Polishing (CMP) consists in applying a slurry of colloidal particles onto a solid surface called substrate, through a pressure applied with a rotating polymeric pad. A silica substrate and CeO2 particles were chosen as reference system to study the mechanism of CMP. Macroscopic studies have shown the effect of the concentration and the size of abrasive particles, as well as the importance of pH and ionic strength. The mechanism was more thoroughly studied by recirculating the slurry in fixed conditions. Surface interactions between the substrate and the particles were studied thanks to a multi-instrumental approach (zeta potential, ATR-FTIR, TEM, SAXS, chemical analysis, AFM).A good understanding of the surfaces at stake is necessary to study the mechanism of polishing. Acid-basic and redox properties of the CeO2 surface were therefore investigated. Finally, the surface chemistry of the abrasive particles were modified (synthesis of core-shell particles and solid solutions), and different ceria morphologies were tested
10

Kumar, Akhauri Prakash [Verfasser]. "Agent based diagnostic system for the defect analysis during chemical mechanical polishing (CMP) / Universität Stuttgart, IFF, Institut für Industrielle Fertigung und Fabrikbetrieb ... Akhauri Prakash Kumar". Heimsheim : Jost-Jetter, 2005. http://d-nb.info/976561247/34.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.

Libros sobre el tema "CMP polishing":

1

Marinescu, Ioan D., Toshiro K. Doi y Syuhei Kurokawa. Advances in CMP/polishing technologies for the manufacture of electronic devices. Oxford: Elsevier, 2012.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

E, Materials Research Society Meeting Symposium. Science and technology of chemical mechanical planarization (CMP): Symposium held April 14-16, 2009, San Francisco, California, U.S.A. Warrendale, Penn: Materials Research Society, 2010.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Texas Engineering Extension Service (TEEX) Staff. CMP (Chemical Mechanical Polishing). TEEX/Technology and Economic Development, 1998.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Advances in CMP Polishing Technologies. William Andrew, 2018.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Advances in CMP Polishing Technologies. Elsevier, 2012. http://dx.doi.org/10.1016/c2009-0-20355-2.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Babu, Suryadevara. Advances in Chemical Mechanical Planarization (CMP). Elsevier Science & Technology, 2016.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Seimitsu Kōgakkai. Puranarizēshon CMP to Sono Ōyō Gijutsu Senmon Iinkai., ed. Handōtai CMP yōgo jiten. 8a ed. Tōkyō: Ōmusha, 2008.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Seimitsu Kōgakkai. Puranarizēshon CMP to Sono Ōyō Gijutsu Senmon Iinkai., ed. Handōtai CMP yōgo jiten. 8a ed. Tōkyō: Ōmusha, 2008.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Lee, Seung-Mahn. Characterization of chemical interactions during chemical mechanical polishing (CMP) of copper. 2003.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Choi, Wonseop. Study of interfacial interaction during chemical mechanical polishing (CMP) of dielectric silicon dioxide. 2003.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.

Capítulos de libros sobre el tema "CMP polishing":

1

James, David B. "CMP Polishing Pads". En Chemical-Mechanical Planarization of Semiconductor Materials, 167–213. Berlin, Heidelberg: Springer Berlin Heidelberg, 2004. http://dx.doi.org/10.1007/978-3-662-06234-0_6.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Danyluk, Steven y Sum Huan Ng. "Chemical Mechanical Polishing (CMP)". En Encyclopedia of Tribology, 366–70. Boston, MA: Springer US, 2013. http://dx.doi.org/10.1007/978-0-387-92897-5_610.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Borst, Christopher L., William N. Gill y Ronald J. Gutmann. "Chemical-Mechanical Planarization (CMP)". En Chemical-Mechanical Polishing of Low Dielectric Constant Polymers and Organosilicate Glasses, 45–69. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/978-1-4615-1165-6_3.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Borst, Christopher L., William N. Gill y Ronald J. Gutmann. "CMP of Organosilicate Glasses". En Chemical-Mechanical Polishing of Low Dielectric Constant Polymers and Organosilicate Glasses, 97–118. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/978-1-4615-1165-6_5.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Starosvetsky, D. y Y. Ein-Eli. "Electrochemical View of Copper Chemical-Mechanical Polishing (CMP)". En Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications, 359–78. New York, NY: Springer New York, 2009. http://dx.doi.org/10.1007/978-0-387-95868-2_24.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Borst, Christopher L., William N. Gill y Ronald J. Gutmann. "CMP of BCB and Silk Polymers". En Chemical-Mechanical Polishing of Low Dielectric Constant Polymers and Organosilicate Glasses, 71–95. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/978-1-4615-1165-6_4.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Borst, Christopher L., William N. Gill y Ronald J. Gutmann. "Low-κ CMP Model Based on Surface Kinetics". En Chemical-Mechanical Polishing of Low Dielectric Constant Polymers and Organosilicate Glasses, 119–59. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/978-1-4615-1165-6_6.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Ilie, Filip, Tiberiu Laurian y Constantin Tita. "Relating Friction and Processes Development during Chemical — Mechanical Polishing (CMP)". En Advanced Tribology, 571–75. Berlin, Heidelberg: Springer Berlin Heidelberg, 2009. http://dx.doi.org/10.1007/978-3-642-03653-8_184.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Borst, Christopher L., William N. Gill y Ronald J. Gutmann. "Copper CMP Model Based Upon Fluid Mechanics and Surface Kinetics". En Chemical-Mechanical Polishing of Low Dielectric Constant Polymers and Organosilicate Glasses, 161–80. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/978-1-4615-1165-6_7.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Cheng, Jie. "Tribocorrosion Investigations of Cu/Ru Interconnect Structure During CMP". En Research on Chemical Mechanical Polishing Mechanism of Novel Diffusion Barrier Ru for Cu Interconnect, 75–89. Singapore: Springer Singapore, 2017. http://dx.doi.org/10.1007/978-981-10-6165-3_4.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.

Actas de conferencias sobre el tema "CMP polishing":

1

Shiu, Pei-Jiun R. y Chao-Chang A. Chen. "Effect of mechanical polishing on copper in electrochemical-mechanical polishing". En 2014 International Conference on Planarization/CMP Technology (ICPT). IEEE, 2014. http://dx.doi.org/10.1109/icpt.2014.7017310.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Levert, Joseph A. y Chad S. Korach. "The Relative Friction Force Contributions of Polishing Pads and Slurries During Chemical Mechanical Polishing". En World Tribology Congress III. ASMEDC, 2005. http://dx.doi.org/10.1115/wtc2005-63817.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Next generation integrated circuits (IC’s) will require the use of porous dielectric materials with shear strengths much lower than the currently used dense silicon dioxide. The high friction of CMP (chemical mechanical polishing) may damage these porous dielectric materials. This research is being performed to define the nanoscale source of this poorly understood CMP friction to enable development of less damaging CMP processes. It is proposed that the nanoscale friction on the IC from CMP is a variable combination of two-body pad nanoasperity to IC contact and three-body nanocontact of the slurry particle between the pad nanoasperity and the IC surface. This research uses a combination of individual nanoscale friction measurements for CMP of SiO2, an analytical model to sum these effects, and bench scale CMP experiments to guide the research and validate the model.
3

Wan, Liew Siew y Chong Yew Siew. "ILD CMP Polishing Pad and Disk Characterization". En 2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC). IEEE, 2022. http://dx.doi.org/10.1109/asmc54647.2022.9792492.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Hosokawa, Koichiro, Shoichiro Yoshida y Yoshiharu Ota. "The oxidant impact for Tungsten polishing". En 2014 International Conference on Planarization/CMP Technology (ICPT). IEEE, 2014. http://dx.doi.org/10.1109/icpt.2014.7017301.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Takami, Shinichiro, Shogaku Ide y Makoto Tabata. "Wafer edge roll-off improvement by protective agent in polishing slurry at double side polishing process". En 2014 International Conference on Planarization/CMP Technology (ICPT). IEEE, 2014. http://dx.doi.org/10.1109/icpt.2014.7017303.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Tsai, Jhy-Cherng, Yaw-Yi Shieh, Ming-Shih Tsai y Bau-Tong Dai. "Experimental Investigation on the Roles of Chemical Corrosion and Mechanical Polishing on Copper CMP". En ASME 2004 International Mechanical Engineering Congress and Exposition. ASMEDC, 2004. http://dx.doi.org/10.1115/imece2004-61072.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
This paper is an experimental investigation on the roles of chemical corrosion and mechanical polishing of the chemical mechanical polishing (CMP) of wafers with copper film of 1,000 nm thickness. Three types of experiments are designed and conducted: chemical corrosion, mechanical polishing, and CMP with φ0.3μm Al2O3 as abrasives. The results showed that copper films after PVD and annealing sustain tensile stress that intensifies corrosion rate. In general, the stress of copper film increases at higher annealing temperature and the corrosion rate increases correspondingly though the relationship is weak. The polishing rate of pure mechanical polishing is about the same as that of pure chemical corrosion, but surface roughness of mechanical polishing and CMP are much better than that of chemical corrosion. Furthermore, the removal rate of mechanical polishing and chemical corrosion, about 2nm/min, are relatively low compared to that of CMP, about 30nm/min, it indicates that the removal mechanism of CMP is not simply a linear superposition of chemical corrosion and mechanical polishing. The strong interaction and the combined reaction of chemical corrosion and mechanical polishing need further investigation.
7

WATANABE, J., T. INAMURA, T. BEPPU y Y. MINAMIKAWA. "CMP CHARACTERISTICS AND POLISHING MACHINE IN ILD PLANARIZATION". En Proceedings of the International Symposium. WORLD SCIENTIFIC, 1997. http://dx.doi.org/10.1142/9789814317405_0011.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Yoon, Inho, Sum Huan Ng, Andres Osorno y Steven Danyluk. "Dishing and Erosion in Cu-CMP". En World Tribology Congress III. ASMEDC, 2005. http://dx.doi.org/10.1115/wtc2005-63978.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
Chemical Mechanical Polishing (CMP) of copper in trenches and vias of patterned silicon wafers is routinely used in CMOS processes as well as MEMS applications. Although the main goals of CMP are to achieve a planar surface at the nano-scale without scratches, it is generally the case that copper is preferentially polished, a condition called dishing and erosion, relative to the pattern geometry. We have measured dishing and erosion of electroplated copper on patterned silicon wafers with specially-designed patterns containing combinations of line-width and density. One hundred millimeter diameter wafers were patterned using a standard etching process and electroplated with copper. The polishing was done on a modified laboratory-scale bench top polisher which allows ranges of normal loads and velocities. A commercial pad (Rodel IC1000 plain) and slurry were used along with a slurry-delivery rate fixed by a peristaltic pump. The pad conditioning and other process parameters were chosen to represent those used in standard industrial practice. Dishing and erosion were measured as a function of the pattern geometry and polishing conditions. The measured dishing and erosion were then compared to other models.
9

Ng, Dedy, Milind Kulkarni, Hong Liang, Yeau-Ren Jeng y Pai-Yau Huang. "Nano-Particle Interaction During Chemical-Mechanical Polishing". En World Tribology Congress III. ASMEDC, 2005. http://dx.doi.org/10.1115/wtc2005-63591.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Resumen
We investigate the particle adhering and removal processes during CMP and post-CMP cleaning. The mechanical interaction between abrasive particles and wafer surface was studied using a microcontact wear model. This model considers the particle effects between the polishing interfaces during load balancing. Experimental results on polishing and cleaning are compared with numerical analysis. This study suggests that during post-CMP cleaning, a combined effort in chemical and mechanical interaction (tribochemical interactions) would be effective in removal small particles during cleaning. For large particles, more mechanical forces would be more effective.
10

Wang, Luling, Abhudaya Mishra, Benjamin Cruz y Richard Wen. "Cobalt polishing slurries for 10 nm and beyond". En 2014 International Conference on Planarization/CMP Technology (ICPT). IEEE, 2014. http://dx.doi.org/10.1109/icpt.2014.7017287.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.

Pasar a la bibliografía