Дисертації з теми "Faible consommation d'énergie"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: Faible consommation d'énergie.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-33 дисертацій для дослідження на тему "Faible consommation d'énergie".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Dumont, Éric. "Étude d'une maison à faible consommation d'énergie : Habitasol 2000." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1996. http://www.collectionscanada.ca/obj/s4/f2/dsk3/ftp05/MQ26567.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Poulain, David. "Dimensionnement des réseaux métropolitains transparents à faible consommation d'énergie." Versailles-St Quentin en Yvelines, 2013. http://www.theses.fr/2013VERS0012.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Dans cette thèse nous nous attaquons au problème de dimensionnement des anneaux métropolitains optiques transparents à faible consommation d'énergie. Une nouvelle architecture de noeuds tout-optiques, appelée Packet Optical Add-Drop Multiplexer (POADM), permet d'améliorer les performances des réseaux métropolitains tout en diminuant leur consommation d'énergie et donc leur coût d'exploitation. Un POADM est composé de récepteurs optiques de pointe dont le coût unitaire est elevé. Le nombre de ces équipements est variable dans chaque noeud et détermine les performances du noeud mais aussi son coût de déploiement. Nous proposons des solutions de dimensionnement permettant à la fois de garantir les performances du réseau tout en minimisant le coût de déploiement de celui-ci
In this thesis we address the problem of dimensionning metropolitan all-optical rings with low energy consumption. A new architecture for all-optical nodes, called Packet Optical Add-Drop Multiplexer (POADM), improves the performance of metropolitan networks while reducing their energy consumption and therefore their operating costs. A POADM is composed of advanced optical receivers whose unit cost is elevated. The number of these equipments is variable in each node and it determines the performance but also the capital expenditure of the network. We offer design solutions to both ensure network performance while minimizing the cost of deploying it
3

Hannachi-Belkadi, Nazila Kahina. "Développement d'une méthodologie d'assistance au commissionnement des bâtiments à faible consommation d'énergie." Phd thesis, Université Paris-Est, 2008. http://tel.archives-ouvertes.fr/tel-00468589.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les bâtiments à faible consommation d'énergie connaissent, ces dernières années, un grand intérêt étant donné le rôle important qu'ils jouent dans la diminution des émissions de gaz à effet de serre d'une part, et la flambée des prix des combustibles, d'autre part. Néanmoins, dans de nombreux cas la réalisation de ce type de bâtiments n'atteint pas les performances escomptées. Ce problème est dû en grande partie à : 1) la perte d'informations tout au long du cycle de vie du bâtiment, 2) la non évaluation régulière des décisions prises par les acteurs intervenants. Le commissionnement en tant que processus de contrôle qualité joue un rôle important dans le bon déroulement du processus de réalisation de bâtiments à faible consommation d'énergie. Cette recherche vise à développer une méthodologie dont l'objectif est d'assister les personnes responsables de cette mission dans la définition de plans de commissionnement adaptés à leurs projets. Nous avons réalisé en premier, un état de l'art de la réalisation des bâtiments à faible consommation d'énergie, que nous avons par la suite confronté à la réalité à travers une enquête auprès des acteurs du bâtiment et d'étude de cas réels. Cette étape nous a permis de formuler une hypothèse concernant la nécessité d'un commissionnement "évolutif" -adapté aux particularités de chaque projet - et de décrire une méthodologie globale d'assistance à la conception des bâtiments à faible consommation d'énergie, qui intègre une aide à la décision, une gestion de l'information et un commissionnement "évolutif" qui vient vérifier le bon déroulement des deux premiers. Pour mettre en application cette méthodologie, une boîte à outils a été développée. Elle est constituée de : 1) un outil dit "statique" qui permet de définir un premier plan de commissionnent générique qui répond aux caractéristiques d'un projet, à partir d'une base de données exhaustives de tâches de commissionnement, 2) un outil dit "dynamique" basé sur les probabilités, qui permet de mettre à jour le plan de commissionnement initial (générique), en l'adaptant au projet en cours. Cette mise à jour permet de prendre en compte les particularités et imprévus rencontrés lors de la réalisation d'un projet, rendant ainsi le plan de commissionnement plus précis. Une expérimentation, dans un cas réel, du premier outil et des applications du second ont été faites pour montrer leurs possibilités et leurs limites. Les résultats font apparaître deux points importants : 1) l'intérêt d'avoir un plan de commissionnement structuré et évolutif pour vérifier la qualité de la réalisation des bâtiments à faible consommation d'énergie et assurer ainsi l'atteinte des performances souhaitées, 2) l'intérêt d'utiliser un outil probabiliste tel que les réseaux Bayésiens pour anticiper les dérives et prendre en charge les imprévus rencontrés lors de ce processus vivant. Cette méthodologie représente une base pour le développement d'outils d'assistance à la définition de plans de commissionnement "évolutifs" pour le neuf et l'existant, et tous les secteurs du bâtiment
4

Amador, Erick. "Décodeurs LDPC à faible consommation énergétique." Phd thesis, Télécom ParisTech, 2011. http://pastel.archives-ouvertes.fr/pastel-00599316.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les techniques de décodage itératif pour les codes modernes dominent actuellement le choix pour la correction des erreurs dans un grand nombre d'applications. Les Turbo codes, présentés en 1993, ont déclenché une révolution dans le domaine du codage de canal parce que ils permettent de s'approcher de la limite de Shannon. Ensuite, les codes LDPC (low-density parity-check) ont été redécouverts. Ces codes sont actuellement omniprésents dans le contexte des communications mobiles sans fil, mais aussi dans d'autres domaines d'application. Dans cette thèse, l'accent est mis sur la conception de décodeurs VLSI à basse consommation destinés aux communications sans fil. Les dispositifs nomades sont généralement alimentés par des batteries et ils ont besoin d'une bonne efficacité énergétique et d'une haute performance, le tout dans une surface de silicium minimale. En outre, les décodeurs de canal sont généralement responsables d'une part importante de la consommation d'énergie dans la chaîne de traitement en bande de base d'un récepteur sans fil. Nous nous concentrons sur les décodeurs LDPC. Au niveau algorithmique nous étudions les compromis entre la performance, l'efficacité énergétique et la surface de silicium pour les différents algorithmes de décodage. Au niveau de l'architecture nous étudions le point essentiel des mémoires. Ce point est particulièrement important pour la consommation et la surface finale du décodeur. Enfin, au niveau du système, nous proposons des stratégies pour la gestion dynamique de la puissance pour les décodeurs Turbo et LDPC. Ces stratégies sont basées sur la prédiction et le contrôle dynamique du nombre d'itérations de décodage.
5

Franciscatto, Bruno. "Conception et réalisation d'un nouveau transpondeur DSRC à faible consommation." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT037/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Afin d'augmenter l'efficacité et la sécurité du trafic routier, de nouveaux concepts et technologies ont été développés depuis 1992 en Europe pour les applications RTTT (Road Traffic & Transport Telematics). Ces applications utilisent les équipements DSRC qui supportent les transmissions à courte distance à 5.8GHz. Vues la fiabilité et le succès de cette technologie, l'utilisation de ces équipements est ensuite étendue aux ETC (Electronic Toll Collection) ou Télépéage et aussi dans une multitude d'autres domaines d'application comme la gestion des flottes, le transport public et la gestion des parkings. Le système DSRC se compose d'un émetteur/récepteur (lecteur) et des transpondeurs (badges). En toute logique, l'approche industrielle oriente les développements vers la technologie de transpondeur semi passif qui, pour réémettre un signal utilise le signal transmis par l'émetteur–récepteur, effectue une modulation de phase d'une sous porteuse fréquentielle encodant ainsi les données à transmettre. Cette conception évite l'utilisation des oscillateurs locaux, comme dans les transpondeurs actifs, pour générer l'onde Radio Fréquence (RF). Ceci permet de produire des transpondeurs relativement à faible coût et de petite taille. Cependant ce concept nécessite quand même une batterie au Lithium pour assurer le fonctionnement du transpondeur pour une durée de 4 à 6 ans et ce malgré les progrès des technologies de circuits intégrés à faible consommation. Au fur et à mesure de l'expansion de ces équipements, il s'avère qu'avec les années la quantité des batteries au lithium à détruire deviendrait un problème crucial pour l'environnement. Aujourd'hui, la conception d'un transpondeur DSRC complètement autonome n'est pas faisable, car la quantité d'énergie nécessaire s'avère encore élevée (mode actif 8 mA/3.6 V). Néanmoins, la réduction de la consommation électrique du transpondeur, permet au moins doubler la durée de vie de la batterie et pourrait être un bon point de départ pour améliorer la protection de l'environnement.Dans cette thèse, nous proposons un nouveau transpondeur DSRC avec un diagramme d'état original qui réduit considérablement la consommation énergétique. Après validation d'un nouvel état de fonctionnement en mode très faible consommation d'énergie, nous avons étudié la possibilité de recharger la batterie du transpondeur à travers de la récupération d'énergie sans fil. Le bilan de liaison énergétique DSRC a été réalisé afin d'estimer la quantité d'énergie disponible quand une voiture avec un transpondeur passe à sous un système de péage. Toutefois, le bilan énergétique à 5.8 GHz présente une faible densité d'énergie RF, puisque la voiture ne reste pas assez sur le lobe de l'antenne DSRC afin de procéder à la récupération d'énergie. Par conséquent, nous avons alors exploré une autre fréquence ISM, le 2.45 GHz dans laquelle la présence d'émetteurs est bien plus grande. Dans le chapitre de récupération d'énergie sans fil nous présentons la conception et l'optimisation d'un nouveau récupérateur d'énergie RF. Après avoir démontré qu'une charge RF-DC optimale est nécessaire afin d'atteindre une haute efficacité de conversion RF-DC. Plusieurs redresseurs et rectennas ont été conçus pour valider les études numériques. Parmi, les résultats présentés dans cette thèse les rendement de conversion obtenus sont à l'état de l'art de la récupération d'énergie sans fil pour une très faible densité de puissance disponible
To increase the efficiency and safety of the road traffic, new concepts and technologies have been developed in Europe since 1992 for RTTT applications (Road Traffic & Transport Telematics). These applications use the Dedicated Short Range Communications (DSRC) devices at 5.8 GHz (ISM band). In view of the reliability and success of this technology, the use of such equipment is thus extended to the EFC (Electronic Fee Collection) or e-toll and also in many other application areas such as fleet management, public transport and parking management. Due to the broad applications, these equipments are subject to various standards CEN/TC 278, CEN ENV (EN) 12253, ETSI, etc.... The DSRC system consists in a transceiver (reader) and transponders (tags). Industrial approaches are oriented to semi-passive transponder technology, which uses the same signal sent by the reader to retransmit, performing a frequency shift and encoding data to be transmitted. This design avoids the use of the local oscillators to generate the RF wave, as in active transponders, and save electrical energy of batteries. This allows the development of relatively low cost and small size transponders. Despite advances in integrated low-power circuits technology, this concept still requires a lithium battery to operate the transponder for a period of 4-6 years. However, with the expansion of these facilities, it appears that over the years the amount of lithium to destroy has become a crucial problem for the environment. Nowadays designing a completely autonomous DSRC transponder is not feasible, since the amount of energy required is still high (8 mA/3.6 V active mode). Nevertheless, reducing the transponder electrical power consumption, as a solution to at least double the battery life, could be a good start point to improve environment protection.In this thesis we propose a new DSRC transponder with an original statechart that considerably reduces the power consumption. After validation of the new low-power consumption mode, we studied the possibility to recharge the battery of the transponder by means of Wireless Energy Harvesting. The DSRC Toll Collection RF link budget was carried out in order to estimate the amount of energy available when a car with a transponder passes through a toll system. However, RF link budget at 5.8 GHz presents a low power density, since the car does not stay enough on the DSRC antenna's field to proceed to energy harvesting. Therefore we explored another ISM frequency, the 2.45 GHz. Thus the Wireless Energy Harvesting chapter aims to further the state of the art through the design and optimization of a novel RF harvesting board design. We demonstrated that an optimum RF-DC load is required in order to achieve high RF-DC conversion efficiency. Several rectifiers and rectennas were prototyped in order to validate the numerical studies. Finally, the results obtained in this thesis are in the forefront of the State-of-the-Art of Wireless Energy Harvesting for very low available power density
6

Harari, Stéphanie. "Contrôle modal semi-actif et actif à faible consommation énergétique par composants piézoélectriques." Phd thesis, INSA de Lyon, 2009. http://tel.archives-ouvertes.fr/tel-00443734.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le contrôle de vibrations est devenu un enjeu majeur dans de nombreuses applications industrielles où l'augmentation de la durée de vie nécessite de réduire les vibrations. Dans le cas de structures embarquées, les vibrations doivent être amorties efficacement tout en limitant la masse et le volume du contrôleur. Cet objectif peut être atteint en minimisant voire en supprimant l'énergie nécessaire à ce contrôle. Dans ce contexte, les recherches présentées consistent à utiliser des céramiques piézoélectriques comme capteurs et actionneurs. Légères et peu encombrantes, elles sont constituées de matériaux dont la bande fréquentielle est importante ce qui les rend bien adaptées au contrôle de structures embarquées. Afin d'atteindre les objectifs de performance et d'énergie nécessaires au contrôle des structures embarquées, une stratégie de contrôle semi-actif modal a été développée. La méthode est basée sur une stratégie de contrôle qui ne nécessite que très peu d'énergie pour fonctionner mais est efficace uniquement lorsque l'excitation est ciblée sur un mode unique. Afin d'améliorer les performances du contrôle semi-actif dans le cas d'une excitation large bande, une approche modale est proposée. Cette méthode modale permet de minimiser l'énergie nécessaire au contrôle en ciblant celui-ci sur des modes choisis. De plus, l'approche modale permet d'utiliser moins de capteurs et d'actionneurs que de modes à contrôler. Les résultats numériques et expérimentaux montrent que le contrôle semi-actif modal développé est performant et bien qu'un modèle soit nécessaire, il s'avère robuste en stabilité et en performance. Le contrôle de vibrations consiste en un compromis entre performance et énergie de contrôle. Comparés à d'autre type de contrôle tel que le contrôle actif, les performances obtenues par la méthode semi-active modale s'avèrent être en retrait. Cependant, la méthode semi-active modale ne nécessite qu'une énergie très faible pour fonctionner contrairement au contrôle actif qui nécessite des amplificateurs souvent lourds et encombrants limitant fortement les applications notamment dans le domaine des structures embarquées. Afin de bénéficier des avantages respectifs des deux méthodes, le contrôle proposé consiste à associer le contrôle actif au contrôle semi-actif modal. Cette méthode hybride permet de contrôler les modes de vibrations de la structure avec des performances identiques à celle du contrôle actif tout en consommant moins d'énergie. Une application expérimentale de cette méthode est réalisée sur une poutre encastrée-libre. L'analyse énergétique de la commande active permet de quantifier le gain en énergie du contrôle hybride face aux différentes méthodes de contrôle. Cette réduction de l'énergie de contrôle se traduit par une diminution de la masse des amplificateurs. Cette technique pourra trouver des applications dans le domaine des transports pour améliorer la durée de vie des systèmes.vibrations
7

Durand, Sylvain. "Commande faible coût pour une réduction de la consommation d'énergie dans les systèmes électroniques embarqués." Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00586620.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La course à la miniaturisation des circuits électroniques pousse à développer des systèmes faible coût, quece soit en terme de consommation d'énergie ou de ressources de calcul. Il est ainsi possible de réduire la consommationen diminuant la tension d'alimentation et/ou la fréquence d'horloge, mais ceci a pour conséquence de diminuer aussila vitesse de fonctionnement du circuit. Une commande prédictive rapide permet alors de gérer dynamiquement un telcompromis, de manière à ce que la consommation d'énergie soit minimisée tout en garantissant de bonnes performances.Les stratégies de commande proposées ont notamment l'avantage d'être très robustes aux dispersions technologiquesqui sont un problème récurrent dans les nanopuces. Des solutions sont également proposées afin de réduire le coût decalcul du contrôleur. Les systèmes à échantillonnage non-uniforme, dont la loi de commande est calculée et mise à jourlorsqu'un événement est déclenché, sont ainsi étudiés. Ce principe permet de réduire le nombre d'échantillons et, parconséquent, d'économiser des ressources de calcul, tout en garantissant de bonnes performances du système commandé.Des résultats de simulation, et surtout expérimentaux, valident finalement l'intérêt d'utiliser une telle approche.
8

Slimani, Kamel. "Une méthodologie de conception de circuits asynchrones à faible consommation d'énergie : application au microprocesseur MIPS." Grenoble INPG, 2004. https://tel.archives-ouvertes.fr/tel-00008328.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Pour accroître l'autonomie des systèmes embarqués tels que les téléphones ou les ordinateurs portables, de nombreuses recherches sur la conception de circuits intégrés ont été réalisées en vue de réduire la consommation d'énergie. Ces travaux de thèse ont pour but de proposer au concepteur des moyens de concevoir des circuits intégrés numériques à faible consommation d'énergie. Trois étapes importantes vers la réduction de la consommation d'énergie ont été proposées. L'utilisation de la logique asynchrone représente le premier pas vers la réduction de la consommation d'énergie. En effet, de nombreux travaux réalisés ces dernières années ont montré que les circuits asynchrones présentent la propriété intrinsèque de consommer moins d'énergie que les circuits implémentés en logique synchrone. Le second pas important est d'offrir au concepteur des outils lui permettant d'obtenir des informations sur l'activité et la consommation d'énergie du circuit lors de la conception de celui-ci. Nous avons spécifié un estimateur d'activité et un estimateur de la consommation d'énergie qui permettent au concepteur de collecter des informations pertinentes sur la répartition de l'activité et de la consommation d'énergie d'un circuit lors d'une simulation donnée. Enfin, des techniques d'optimisation sont proposées pour réduire la consommation d'énergie des circuits. La méthodologie d'estimation et les techniques d'optimisation de la consommation d'énergie ont été appliquées à la réalisation d'un processeur, les résultats ont montré une réduction de la consommation d'énergie de 24%
To increase the autonomy of embedded systems such as portable phones or computers, several researches on integrated circuit design have been performed in order to reduce the energy consumption. This Phd work aims at proposing to the designer the means to design low energy digital integrated circuits. Three important steps have been proposed in this work to reduce the energy consumption of circuits. The first step is to use asynchronous logic to design circuit. Indeed, several works achieved these last years have shown that asynchronous logic can significantly reduce the energy consumption of circuits. The second step is to offer tools that allow the designer to get information on the activity and the energy consumption of a circuit during the design flow. An activity estimator and an energy estimator have been specified that allow the designer to get relevant information on the distribution of the activity and the energy within a circuit during a specific simulation. Finally, optimisation techniques have been defined to reduce the energy consumption of circuits. The estimation methodology and the energy consumption optimisation techniques have been applied to the implementation of a processor. The results have shown an energy consumption reduction of 24%
9

Saddi, Zied. "Conception d'un dispositif de récupération d'énergie mixte vibratoire-électromagnétique pour l'alimentation des dispositifs à faible consommation." Thesis, Paris Est, 2016. http://www.theses.fr/2016PESC1065/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L’alimentation des systèmes communicants à partir des sources d’énergies existantes dans l’environnement est une solution pertinente pour prolonger leur autonomie énergétique. Cela peut permettre de s’affranchir des sources d’énergie embarquées comme les piles et les batteries, qui présentent une durée de vie limitée, nécessite un remplacement périodique et un coût de recyclage. Parmi les sources d’énergies récupérables, les ondes électromagnétiques et les vibrations mécaniques sont considérées parmi les plus prometteuses en raison de leur disponibilité notamment dans les milieux urbains. Notre contribution porte sur l’étude et la réalisation d’un dispositif de récupération d’énergie vibratoire par transduction électrostatique. Ce type de système, basé sur une variation de capacité, nécessite une tension de pré-charge provenant d’une source auxiliaire. Afin d’éviter les matériaux piézoélectriques et les électrets caractérisés par une durée de vie limité, la phase d’initialisation a été assurée par une rectenna (Rectifying antenna).Deux rectennas ont été développées pour assurer la pré-charge du transducteur électrostatique. Une première structure bi-bande (2.45 GHz et 1.8 GHz) basée sur un anneau hybride a été proposée. Elle permet, non seulement d’augmenter la puissance RF captée, mais aussi de simplifier les problèmes d’adaptation. Une tension de 320 mV et un rendement de 40.6 % ont été mesurés, respectivement pour des densités surfaciques de puissance de 1.13 et 1.87 µW/cm2 aux fréquences 1.85 et 2.45 GHz. Une deuxième structure élévatrice de tension en topologie Cockcroft-Walton a été conçue et caractérisée expérimentalement. Une tension de 1.06 V a été mesurée pour une densité surfacique de puissance de 1.55 µW/cm².Un dispositif de récupération d’énergie mixte électromagnétique vibratoire complet a été par la suite étudié, conçu et caractérisé expérimentalement. Le transducteur électrostatique a été couplé à un circuit de conditionnement de Bennet pré-chargé par la rectenna. Une tension de 23 V a été obtenue à la sortie du système pour une tension de pré-charge de 0.5 V (1.55 µW/cm²) et à partir d’une vibration mécanique de fréquence 25 Hz et une accélération 1.5g.Une modélisation du transducteur électrostatique adaptée à différentes structures a été proposée. En se basant sur les équivalences mécaniques électriques, un modèle électrique équivalent est déduit en utilisant le logiciel LTspice de façon à étudier le comportement du système et prévoir la tension et la puissance récupérée.Mots clés : récupération d’énergie, rectenna, antenne microruban, circuit de conversion RF-DC, transducteur électrostatique, circuit de conditionnement de Bennet, modélisation
Energy harvesting is an attractive solution to power supply low-power electronics and wireless communication devices avoiding the use of power sources like batteries which have a limited life, requires periodic replacements and have a cost of recycling. Among the available ambient energy sources, electromagnetic waves and mechanical vibrations are the most suitable because of their availability particularly in the urban areas. Our contribution focuses on the study and implementation of a vibrational energy harvesting device using the electrostatic transduction. This system, based on a capacitance modulation, requires a voltage pre-charge given by an auxiliary source. To avoid electret or piezoelectric materials characterized by a limited lifetime, the initialization step was provided by a rectenna (Rectifying antenna).A new structure of dual-band rectenna (2.45 GHz and 1.8 GHz) based on a hybrid ring has been proposed. It allows to increase the received RF power but also to simplify the matching circuit. It experimentally achieves 320 mV voltage and 40 % efficiency when the power densities are 1.13 and 1.87 mW/cm2 at 1.85 and 2.45 GHz, respectively. A Cockcroft-Walton voltage multiplier rectenna was also designed and experimentally characterized. A voltage of 1.06 V was measured at a power density of 1.55 mW/cm².A macro-scale electrostatic vibration harvester (e-VEH), wirelessly pre-charged with a 2.45 GHz Cockcroft-Walton rectenna, was studied, designed and experimentally characterized. The e-VEH uses the Bennet doubler as conditioning circuit. A voltage of 23 V across the transducer terminal has been measured when the vibration harvester is excited at 25 Hz and 1,5g of external acceleration. An energy of 275 µJ and a maximum power of 0.4 µW are available across the load. ‬‬‬‬‬‬‬‬‬‬‬‬‬‬‬‬‬‬A lumped element model of the electrostatic transducer has been proposed. Based on mechanical/electrical equivalent equations, an equivalent electrical circuit is derived using the LTspice simulator to study the behavior of the system and provide the voltage and the power converted.Keywords: Energy harvesting, rectenna, microstrip antenna, RF-to-dc converter, electrostatic transduction, Bennet’s doubler, modélization
10

Ordas, Sébastien. "Évaluation de méthodes faible consommation contre les attaques matérielles." Thesis, Montpellier, 2015. http://www.theses.fr/2015MONTS023/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La consommation des circuits intégrés n'a cessé d'augmenter cette dernière décennie. Avec l'augmentation du prix de l'énergie et la démocratisation des systèmes embarqués, des méthodes permettant de gérer le compromis consommation performance, comme la gestion dynamique de la fréquence et de la tension d'alimentation ou encore du potentiel de substrat, ont été élaborées. Ces méthodes, qui sont de plus en plus couramment mises en œuvre dans les systèmes intégrés, permettent de diminuer la consommation de ceux-ci, et mieux de gérer le compromis consommation performance. Certains de ces circuits, embarquant ces méthodes peuvent avoir à effectuer des opérations traitant des informations confidentielles. Il est donc nécessaire de s'interroger sur l'éventuel impact de ces sur la sécurité des systèmes intégrés. Dans ce contexte, les travaux de thèse reportés dans le présent document, ont eu pour objectif d'analyser la compatibilité de ces méthodes de gestion de la consommation avec la conception de circuits robustes aux attaques matérielles. Plus particulièrement, l'objectif a été de déterminer si ces techniques de conception faible consommation, constituent des obstacles réels ou bien facilitent les attaques matérielles par observation et perturbation exploitant le canal électromagnétique. Dans un premier temps, une étude sur l'efficacité des attaques par observation en présence de gestion aléatoire de la tension, de la fréquence et de la polarisation de substrat a été conduite. Dans un deuxième temps, l'impact de la gestion dynamique des tensions d'alimentation et de substrat sur la capacité à injecter des fautes par médium électromagnétique a été étudié. Ce document présente l'ensemble des résultats de ces analyses.Mots-clés : Attaques Matérielles, Attaques par Canaux Auxiliaires, Attaques par fautes, Canal électromagnétique, DVFS, Body-Biasing
The consumption of integrated circuits has been increasing over the last decade. With the increase of energy prices and the democratization of embedded systems, methods to manage the consumption performance compromise, such as the dynamic management of the frequency and the supply voltage or the substrate potential, were developed. These methods, which are becoming more commonly implemented in integrated systems, allow to reduce the consumption of those latter, and to better manage the tradeoff between consumption and performance.Some of these circuits, embedding these methods, may have to perform some operations with confidential information. It is therefore necessary to consider the possible impact of these methods on the safety of the integrated systems. In this context, the work reported in this thesis aimed to analyze the compatibility of these methods of power management with the design of robust circuits to physical attacks.Specifically, the objective was to determine whether these low-power techniques constitute real obstacles or facilitate the attacks by observation or perturbation exploiting the electromagnetic channel. Initially, a study on the effectiveness of attacks by observation in the presence of random management of voltage, frequency and substrate polarization was done. Secondly, the impact of the dynamic management of supply voltages and substrate polarization on the ability to inject faults by electromagnetic medium was studied. This document presents the overall results of these analyzes. Keyword : Hardware Attacks, Side Channel Attacks, Faults Attacks, Electromagnetic canal, DVFS, Body-biasing
11

Chefi, Ahmed. "Conception d'un micro capteur d'image CMOS à faible consommation d'énergie pour les réseaux de capteurs sans fil." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT003/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce travail de recherche vise à concevoir un système de vision à faible consommation d'énergie pour les réseaux de capteurs sans fil. L'imageur en question doit respecter les contraintes spécifiques des applications multimédias pour les réseaux de capteurs de vision sans fil. En effet, de par sa nature, une application multimédia impose un traitement intensif au niveau du noeud et un nombre considérable de paquets à échanger à travers le lien radio, et par conséquent beaucoup d'énergie à consommer. Une solution évidente pour diminuer la quantité de données transmise, et donc la durée de vie du réseau, est de compresser les images avant de les transmettre. Néanmoins, les contraintes strictes des noeuds du réseau rendent inefficace en pratique l'exécution des algorithmes de compression standards (JPEG, JPEG2000, MJPEG, MPEG, H264, etc.). Le système de vision à concevoir doit donc intégrer des techniques de compression d'image à la fois efficaces et à faible complexité. Une attention particulière doit être prise en compte en vue de satisfaire au mieux le compromis "Consommation énergétique - Qualité de Service (QoS)"
This research aims to develop a vision system with low energy consumption for Wireless Sensor Networks (WSNs). The imager in question must meet the specific requirements of multimedia applications for Wireless Vision Sensor Networks. Indeed, a multimedia application requires intensive computation at the node and a considerable number of packets to be exchanged through the transceiver, and therefore consumes a lot of energy. An obvious solution to reduce the amount of transmitted data is to compress the images before sending them over WSN nodes. However, the severe constraints of nodes make ineffective in practice the implementation of standard compression algorithms (JPEG, JPEG2000, MJPEG, MPEG, H264, etc.). Desired vision system must integrate image compression techniques that are both effective and with low-complexity. Particular attention should be taken into consideration in order to best satisfy the compromise "Energy Consumption - Quality of Service (QoS)"
12

Ali, Muhammad. "Stockage de données codées et allocation de tâches pour les centres de données à faible consommation d'énergie." Electronic Thesis or Diss., CY Cergy Paris Université, 2023. http://www.theses.fr/2023CYUN1243.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les centres de données sont responsables d'une part importante de la consommation mondiale d'énergie. Cette consommation devrait augmenter dans les années à venir, en raison de la demande croissante de services pour les centres de données. Par conséquent, le besoin d'opérations de centres de données efficaces sur le plan énergétique et à faible émission de carbone augmente rapidement.Cette recherche se concentre sur la conception et la mise en œuvre d'un centre de données à faible émission de carbone et à haut rendement énergétique, alimenté par l'énergie solaire et l'hydrogène, ce qui lui confère une indépendance par rapport au réseau électrique. En conséquence, le centre de données est limité par la limite supérieure de la consommation d'énergie, qui est de 10KWh. La contrainte d'utilisation maximale de l'énergie impose plusieurs défis à la conception, à l'utilisation de l'énergie et à la durabilité du centre de données.Ce travail contribue tout d'abord à la conception d'un centre de données à faible consommation d'énergie tout en respectant la contrainte énergétique globale. Nous avons essayé d'économiser l'énergie du centre de données par un choix judicieux du matériel tout en conservant les performances du centre de données. La deuxième contribution de notre travail fournit des protocoles précieux tels que la réparation paresseuse dans le stockage distribué des données, le placement des tâches et les techniques de gestion de l'énergie pour réduire davantage la consommation d'énergie du centre de données. Grâce aux efforts combinés du bon choix de matériel, de protocoles et de techniques, nous avons réduit de manière significative la consommation d'énergie globale du centre de données
Data centers are responsible for a significant portion of global energy consumption. This consumption is expected to grow in the coming years, driven by the increasing demand for data center services. Therefore, the need for energy-efficient, low-carbon data center operations is growing rapidly.This research focuses on designing and implementing a low-carbon, energy-efficient data center powered by solar and hydrogen, granting it independence from the power grid. As a result, the data center is limited by the upper bound on the energy consumption, which is 10KWh. The maximum usage of energy-constraint imposes several challenges to the design, energy usage, and sustainability of the data center.The work first contributes to designing a low-power budget data center while respecting the overall energy constraint. We tried to save the energy usage of the data center through the right choice of hardware while keeping the performance of the data center intact. The second contribution of our work provides valuable protocols like lazy repair in distributed data storage, job placement, and power management techniques to further reduce the data center's energy usage. With the combined efforts of the right choice of hardware, protocols, and techniques, we significantly reduced the overall energy consumption of the data center
13

Verrascina, Nicola. "Design of ULP circuits for Harvesting applications." Thesis, Bordeaux, 2019. http://www.theses.fr/2019BORD0115/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La très faible consommation dans les appareilles modernesest le facteur-clé pour les capteurs alimentée par une source d’énergierécupérée. La réduction du budget de puissance peut être atteinte grâceà différents techniques lié à trois niveaux d’abstraction : transistor, circuitet système. L’objet de cette thèse est l’analyse et la conception descircuits à très faible consommation pour des réseaux des capteurs sansfils. A’ régulateur de tension et an émetteur RF ont été examiné. Lepremier est le circuit principal pour la gestion de puissance ; il agitcomme interface entre le transducteur et les autres circuits du capteur.L’metteur est le circuit que exiges le plus de puissance pour fonctionner,donc une réduction de sa puissance il permet une augmentation de lavie opérationnelle du capteur
In the modern devices Ultra-low power consumption is thesurvival key for the energy-harvested sensor node. The reduction of thepower budget can be achieved by mixing different low–power techniquesat three levels of abstraction: transistor level, circuit level and systemlevel. This thesis deals with the analysis and the design of Ultra-LowPower (ULP) circuits suitable for Energy-Harvesting Wireless SensorNetworks (EHWSN). In particular, voltage regulator and RF transmissioncircuits are examined. The former is the main block in powermanagement unit; it interfaces the transducer circuit with the rest of thesensor node. The latter is the most energy hungry block and thusdecreasing its power consumption can drastically increases the sensoron-time
14

Dessales, Denis. "Conception d'un réseau de capteurs sans fil, faible consommation, dédié au diagnostic in-situ des performances des bâtiments en exploitation." Poitiers, 2011. http://nuxeo.edel.univ-poitiers.fr/nuxeo/site/esupversions/db809f07-98d1-49ad-b9e8-b8633225fabc.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce travail de thèse est le fruit d'une collaboration de recherche à travers une convention CIFRE entre le laboratoire XLIM-SIC de l'université de Poitiers et le Centre scientifique et technique du bâtiment (CSTB) de Grenoble. L'objectif principal de cette thèse concerne la conception et l'optimisation énergétique d'un réseau de capteurs sans fil capable de mesurer in-situ, pendant plusieurs années, les performances énergétiques d'un bâtiment (luminosité, acoustique, température. . . ). Dans ce but, les travaux de recherche se sont principalement portés sur l'optimisation énergétique des communications sans fil du réseau à travers les couches basses du modèle OSI. Sachant que les performances de la couche physique impactent directement l'efficacité des autres couches, l'approche développée a consisté à modéliser de façon réaliste la chaîne de transmission numérique à partir du développement d'un modèle de canal qui tient compte de l'influence des personnes sur la qualité de transmission. Ensuite, à partir de cette modélisation de la couche physique et d'un modèle d'énergie existant, la qualité des liens radio a été évaluée de façon à trouver le meilleur compromis entre la robustesse et l'efficacité énergétique des transmissions radio. Pour étendre notre raisonnement à l'échelle d'un réseau, la suite des travaux s'est portée sur l'étude de la couche MAC. En raison de l'interdépendance des paramètres affectant la consommation, la méthode choisie a consisté à évaluer par une approche "cross-layer" les performances énergétiques de différents protocoles MAC, en prenant en compte l'impact des performances de la couche physique dans l'évaluation. Cette étude a ensuite permis d'aboutir à la définition d'un protocole efficace pour l'application visée. Enfin, la dernière partie des travaux a été consacrée à la réalisation d'un démonstrateur expérimental fonctionnant sur les bandes ISM 433 et 868 MHz et a permis de valider les propos théoriques développés tout au long de la thèse
This PhD thesis is the result of a collaborative research through a CIFRE agreement between the XLIM-SIC laboratory at the University of Poitiers and the Scientific and Technical Center Building (CSTB) at Grenoble. The main goal of this thesis concerns the design and the energy optimization of a wireless sensor network able to measure during several years, the building energy performances (lighting, acoustics, temperature. . . ). To this end, research was mainly focused on the energy optimization of radio communications, through the lower layers of the OSI model. Because the physical layer performances directly impact the efficiency of the other layers, the approach was to model realistically the digital transmission chain from the development of a channel model which takes into account the people moving on the transmission quality. Then, from this physical layer model and an existing energy model, the quality of radio links has been evaluated in order to find the best trade-off between the robustness and the energy efficiency of radio transmissions. To extend our reasoning to a network scale, the following work has focused on the MAC layer study. Because of the interdependence of the parameters affecting consumption, the method chosen was to assess with a "cross-layer" approach, the energy performances of different MAC protocols, by taking into account the impact of the physical layer performances in the assessment. This study then resulted in the definition of an efficient MAC protocol for the aimed application. The final part of the work was devoted to the experimental design of a demonstrator operating on 433 and 868 MHz ISM bands, and allowed us to validate the theory developed during the thesis
15

Lùcio, de Sales Damas Pedro Alberto. "Effet Pockels dans les guides d'onde en silicium contraint : Vers la modulation optique à haute vitesse et faible consommation d'énergie dans le silicium." Thesis, Université Paris-Saclay (ComUE), 2016. http://www.theses.fr/2016SACLS178/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce travail est centré sur l'étude des non-linéarités de deuxiéme ordre dans le silicium vers une modulation optique à faible puissance et haute vitesse. Étant un cristal centro-symétrique, le silicium ne possède pas une susceptibilité non linéaire de deuxiéme ordre (X2), ce qui inhibe l'effet Pockels, un effet électro-optique linéaire couramment utilisé dans la modulation de la lumière dans les communications optiques. Une solution possible pour vaincre cette limitation est par application de contraint et déformation de la maille cristalline, ce qui rompt localement la centro-symétrie du cristal et génère X2.Dans cette thèse, nous abordons le problème de la génération de X2 dans le silicium par l'utilisation de la contrainte, couvrant toutes les étapes de la recherche: nous partons de bases théoriques développées par nous, on simule l'ensemble des effets de contraints, optiques et électriques, on décrit la fabrication des dispositifs et finalement on présent la caractérisation expérimentale de ces dispositifs.Dans ce travail de recherche, nous avons pu détecter des effets très particuliers qui sont attribués au effet Pockels, comme par example une dépendance claire de l'orientation du cristal sur l'efficacité de la modulation et aussi la modulation à haute fréquences, plus élevées que celles attendues par autres contributions. Ces résultats sont très prometteurs et se composent d'une nouvelle étape vers la mise en œuvre, dans un avenir proche, de la modulation à grande vitesse et à faible puissance dans les dispositifs de silicium
This work is devoted to the study of second order nonlinearities in silicon towards low power, high speed modulation. Being a centro-symmetric crystal, silicon does not possess a second order nonlinear susceptibility (X2), which inhibits Pockels effect, a linear electro-optic effect commonly used in the modulation of light in high speed communications. A possible solution to overcome this limitation is by straining/deforming the crystal lattice, which locally breaks the centro-symmetry of the crystal and generates X2.In this thesis, we approach the problem of generating X2 in silicon through the use of strain, covering all the research stages: we depart from newly developed theoretical grounds, simulate together the strain, optical and electrical effects together, describe the fabrication of the devices and present the experimental characterization.In our research work, we were able to detect very particular effects which are attributed to Pockels effect, such as a clear dependence of the crystal orientation on the modulation efficiency and high speed modulation, at frequencies higher than those expected from other contributions. This results are very promising and consist on a step further towards the possible implementation of high speed, low power modulation in silicon devices in the near future
16

Salazar, Gutierrez Camilo Andrés. "Récepteurs de réveil très faible consommation utilisant des techniques de filtrage de type N-Path." Thesis, Lille 1, 2015. http://www.theses.fr/2015LIL10031/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le développement continu des systèmes dédiés à des réseaux de capteurs sans fils présent une grande motivation afin d’apporter “intelligence” à notre environnement. Plusieurs recherches ont été adressées au développement des méthodologies permettant de doter notre environnement avec des capteurs sans fils permettant un contrôle autonome des systèmes pour des applications médicales, environnementales, de sécurité et de structures intelligentes. Afin de garantir un déploiement dense des capteurs avec une longue durée de vie, chaque nœud doit être petit, pas cher et très faible en consommation de puissance. Afin de garantir une faible consommation, ces réseaux des capteurs doivent être implémentés suivant des schémas de rendez-vous asynchrones basés sur des récepteurs de réveil (en anglais, Wake-Up Receivers – WuRx). Cette recherche de thèse porte sur le développement d’un récepteur de réveil compact et à faible cout, fournissant très faible niveaux de consommation de puissance, une forte sensitivité et une forte tolérance aux interférences. L’architecture proposée survient aux besoins des références de temps à haut facteur-Q en combinant un oscillateur local, référée à un résonateur à faible facteur-Q, et des filtres passifs à N-chemins à haut facteur-Q, distribués en plusieurs étages tout le long du chemin de réception. Implémenté en une technologie 65nm CMOS de STMicroelectronics, ce travail de thèse propose un WuRx à double bande IF, avec une architecture travaillant à 2.4GHz avec une consommation de puissance de 99μW, une sensitivité de -97dBm et une rejection d’interférence de -27dB à une fréquence offset de 5MHz
The continuous development of performant systems intended for Wireless Sensor Networks (WSN) sets an exciting motivation to bring “intelligence” to our environment. Multiple researches have been addressed to the development of advanced methodologies enabling the possibility of providing objects with individual wireless sensing devices. Set as sensor networks, these emerging WSN enables autonomous monitoring of diverse environments for applications such as medical care, environmental monitoring, system security and smart structures. To guaranty dense node deployment and long lifetime, each sensor node must be small, low-cost and low-power. In order to fulfill the WSN low-energy requirements, asynchronous rendez-vous schemes based on Wake-Up Receivers (WuRx) may be implemented. This thesis research focuses on the development of a compact and low-cost ultra-low power wake-up receiver providing high sensitivity and strong interference rejection. The proposed architecture overcomes the need of high-Q time-base references by combining a low-Q resonator-referred local oscillator and distributed multi-stage signal-path high-Q filtering obtained by means of integrated ULP electronic means. Based on a Dual-IF architecture, this WuRx takes creative advantage of the N-path passive-mixers (N-PPM) impedance frequency translation principle to enhance the sensitivity and provide strong interferer immunity. Implemented in a 65nm CMOS technology from STMicroelectronics, this thesis work pushes the state-of-the-art boundary, proposing a 2.4GHz On-Off Keying (OOK) dual-IF WuRx with -97dBm sensitivity and -27dB carrier-to-interferer ratio at 5MHz carrier frequency offset, while consuming 99μW
17

Choy, Laurent. "Vers un paradigme de programmation orienté workflow pour la résolution de méthodes d'algèbre linéaire sur des plateformes de calcul global à faible consommation énergétique." Lille 1, 2007. https://pepite-depot.univ-lille.fr/LIBRE/Th_Num/2007/50376-2007-Choy.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le calcul global est un domaine de recherche vaste, dynamique mais qui bénéficie d'un effort de recherche inégal selon la spécialité. Un travail important reste à faire au niveau applicatif. Nous présentons une méthodologie pour la parallélisation et la distribution d'une méthode d'algèbre linéaire pour la recherche des éléments propres d'une matrice réelle symétrique. Nous discutons de l'impact des choix algorithmiques sur les paradigmes de parallélisme et la répartition des données. En particulier, nous opposons le parallélisme paramétrique à un parallélisme fortement communicant et synchronisé dans un contexte défavorable de plateformes réparties à l'échelle de l'Internet, puis sur Grille'5000. Nous proposons des mécanismes indispensables pour le déploiement d'applications à grande échelle sur des ressources hétérogènes non dédiées tels la persistance des données, la programmation "out-of-core" et un algorithme numérique redémarré. Nous contribuons en parallèle au développement du logiciel YML qui masque la complexité et l'hétérogénéité des logiciels de calcul global afin que les scientifiques ne se soucient que des détails applicatifs de leurs problèmes. A la mise-en-oeuvre de modules logiciels d'YML tel un "back-end" pour le logiciel de calcul sur grille OmniRPC, nous ajoutons une réflexion. Sur la programmation haut-niveau d'applications numériques par orchestration de composants au moyen du langage de "workflow" YvetteML. Dans la continuité du travail précédent, notre étude de cas est la recherche des éléments propres d'une matrice réelle symétrique. Enfin, nous explorons un domaine de recherche naissant mais prometteur: le calcul sur grille à faible consommation d'énergie. Dans un contexte de grille de calcul très hétérogène et pour des applications communicantes et synchronisées telle notre méthode de recherches des éléments propres d'une matrice, nous montrons comment réaliser des économies d'énergies significatives en faisant varier la fréquence des processeurs et sans affecter de façon importante les temps de calculs.
18

Karaoui, Mohamed Lamine. "Système de fichiers scalable pour architectures many-cores à faible empreinte énergétique." Thesis, Paris 6, 2016. http://www.theses.fr/2016PA066186/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Cette thèse porte sur l'étude des problèmes posés par l'implémentation d'un système de fichiers passant à l'échelle, pour un noyau de type UNIX sur une architecture manycore NUMA à cohérence de cache matérielle et à faible empreinte énergétique. Pour cette étude, nous prenons comme référence l'architecture manycore généraliste TSAR et le noyau de type UNIX ALMOS.L'architecture manycore visée pose trois problèmes pour lesquels nous apportons des réponses après avoir décrit les solutions existantes. L'un de ces problèmes est spécifique à l'architecture TSAR tandis que les deux autres sont généraux.Le premier problème concerne le support d'une mémoire physique plus grande que la mémoire virtuelle. Ceci est dû à l'espace d'adressage physique étendu de TSAR, lequel est 256 fois plus grand que l'espace d'adressage virtuel. Pour résoudre ce problème, nous avons profondément modifié la structure noyau pour le décomposer en plusieurs instances communicantes. La communication se fait alors principalement par passage de messages.Le deuxième problème concerne la stratégie de placement des structures du système de fichiers sur les nombreux bancs de mémoire. Pour résoudre ce problème nous avons implémenté une stratégie de distribution uniforme des données sur les différents bancs de mémoire.Le troisième problème concerne la synchronisation des accès concurrents. Pour résoudre ce problème, nous avons mis au point un mécanisme de synchronisation utilisant plusieurs mécanismes. En particulier, nous avons conçu un mécanisme lock-free efficace pour synchroniser les accès faits par plusieurs lecteurs et un écrivain. Les résultats expérimentaux montrent que : (1) l'utilisation d'une structure composée de plusieurs instances communicantes ne dégrade pas les performances du noyau et peut même les augmenter ; (2) l'ensemble des solutions utilisées permettent d'avoir des résultats qui passent mieux à l'échelle que le noyau NetBSD ; (3) la stratégie de placement la plus adaptée aux systèmes de fichiers pour les architectures manycore est celle distribuant uniformément les données
In this thesis we study the problems of implementing a UNIX-like scalable file system on a hardware cache coherent NUMA manycore architecture. To this end, we use the TSAR manycore architecture and ALMOS, a UNIX-like operating system.The TSAR architecture presents, from the operating system point of view, three problems to which we offer a set of solutions. One of these problems is specific to the TSAR architecture while the others are common to existing coherent NUMA manycore.The first problem concerns the support of a physical memory that is larger than the virtual memory. This is due to the extended physical address space of TSAR, which is 256 times bigger than the virtual address space. To resolve this problem, we modified the structure of the kernel to decompose it into multiple communicating units.The second problem is the placement strategy to be used on the file system structures. To solve this problem, we implemented a strategy that evenly distributes the data on the different memory banks.The third problem is the synchronization of concurrent accesses to the file system. Our solution to resolve this problem uses multiple mechanisms. In particular, the solution uses an efficient lock-free mechanism that we designed, which synchronizes the accesses between several readers and a single writer.Experimental results show that: (1) structuring the kernel into multiple units does not deteriorate the performance and may even improve them; (2) our set of solutions allow us to give performances that scale better than NetBSD; (3) the placement strategy which distributes evenly the data is the most adapted for manycore architectures
19

Lenoir, Vincent. "Architectures adaptatives basse consommation pour les communications sans-fil." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT085/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ces travaux de thèse s'inscrivent dans la thématique des objets connectés, désormais connue sous le nom de Internet of Things (IoT). Elle trouve son origine dans la démocratisation d'Internet depuis le début des années 2000 et la migration vers des appareils hautement mobiles, rendue possible grâce à la miniaturisation des systèmes embarqués. Dans ce contexte, l'efficacité énergétique est primordiale puisque les projections actuelles parlent de dizaines de milliards de composants connectés à l'horizon 2020. Or pour une question de facilité de déploiement et d'usage, une grande partie des échanges de données dans ces réseaux s'effectue via une liaison sans-fil dont l'implémentation représente une part importante de la consommation. Effectivement, la question de l'efficacité énergétique est en général considérée comme un problème de perfectionnement des architectures matérielles, souvent associé à une évolution favorable de la technologie. Toutefois, ce paradigme atteint rapidement ses limites puisqu'il implique nécessairement un dimensionnement fortement contraint pour être compatible avec les pires conditions d'utilisation, même si elles ne sont pas effectives la plupart du temps. C'est typiquement le cas avec les communications sans-fil puisque le canal radio est un milieu caractérisé par une forte variabilité en raison des phénomènes de propagation et de la présence d'interférences. Notre étude a donc porté sur la conception d'une chaîne de transmission dont le budget de liaison peut être dynamiquement modifié en fonction de l'atténuation réelle du signal, afin de réduire la consommation du système. La thèse a notamment contribué à la mise au point d'un récepteur auto-adaptatif spécifique à la norme IEEE 802.15.4, en proposant à la fois une architecture de modem numérique reconfigurable et à la fois une méthode de contrôle automatique du point de fonctionnement. Plus précisément, le travail s'est appuyé sur deux approches, l'échantillonnage compressif et l'échantillonnage partiel, pour réduire la taille des données à traiter, diminuant ainsi l'activité interne des opérateurs arithmétiques. En contrepartie, le processus de démodulation nécessite un SNR supérieur, dégradant la sensibilité du récepteur et donc le budget de liaison. Cette solution, portée sur une technologie STMicroelectronics CMOS 65 nm LP, offre une faible empreinte matérielle vis-à-vis d'une architecture classique avec seulement 23,4 kcellules. Grâce au modèle physique du circuit qui a été développé, la consommation pour la démodulation d'un paquet est estimée à 278 uW lorsque le modem est intégralement utilisé. Elle peut toutefois être abaissée progressivement jusqu'à 119 uW, correspondant à une baisse de la sensibilité de 10 dB. Ainsi, le modem implémenté et sa boucle de contrôle permettent d'économiser en moyenne 30 % d'énergie dans un cas d'utilisation typique
This thesis work takes part in the connected objects theme, also known as the Internet of Things (IoT). It emerges from the Internet democratization since the early 2000's and the shift to highly mobile devices, made possible by the miniaturization of embedded systems. In this context, the energy efficiency is mandatory since today's projections are around tens of billions of connected devices in 2020. However for ease of deployment and usage, a large part of the data transfers in these networks is wireless, which implementation represents a significant part of the power consumption. Indeed, the energy efficiency question is addressed in general as a fine tuning of hardware architectures, which is often associated with a favorable technology evolution. Nevertheless, this design paradigm quickly reached its limits since it necessary implies a highly constrained sizing to be compatible with the worst operating conditions, even if they are not effective most of the time. It's typically the case with wireless communications since the radio channel is a medium characterized by a strong variability due to propagations effects and interferences. Thus, our study focused on the design of a communication chain whose link budget can be dynamically tuned depending on the actual signal attenuation, in order to reduce the system power consumption. The thesis has contributed to the design of a self-adaptive receiver dedicated to IEEE 802.15.4 standard, by proposing both a reconfigurable digital baseband architecture and an automatic control method of the operating mode. More precisely, the work relied on two approaches, the compressive sampling and the partial sampling, to reduce the data's size to process, decreasing the internal activity of arithmetics operators. In return, the demodulation processing needs a higher SNR, degrading in the same time the receiver sensitivity and thus the link budget. This solution, implemented in an STMicroelectronics CMOS 65 nm LP process, offers a low hardware overhead compared to conventional architecture with only 23,4 kgates. Thanks to the circuit physical model that has been developed, the power consumption for a packet demodulation is estimated to 278 uW when the baseband is fully activated. It can however be gradually decreased down to 119 uW, corresponding to a sensitivity reduction of 10 dB. Thus, the proposed digital baseband and its control loop save 30 % of energy in average in a typical use case
20

Vauché, Rémy. "Conception de générateurs d'impulsions ultra-large bande en technologie CMOS." Thesis, Aix-Marseille 1, 2011. http://www.theses.fr/2011AIX10098.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La théorie de l'information développée par Claude Shannon (1916 - 2001) met en évidence le fait que pour accroître la capacité d'un canal de transmission, il est préférable d'élargir la bande de fréquences sur laquelle les informations sont émises plutôt que les puissances d'émissions. Cette constatation est le point de départ de nombreux travaux de recherche sur les communications Ultra-Large Bande (ULB) qui ont abouti en 2002 à la création aux Etats-Unis d'une bande fréquence dîtes ULB où aucun mode de communication n'est privilégié. C'est ainsi que 2 années plus tard ont débuté à l'IM2NP des travaux portant sur les communications ULB impulsionnelles, et notamment la conception d'amplificateur faible bruit, de détecteur d'énergie, mais également de générateurs d'impulsions qui est l'élément clé des émetteurs impulsionnels. Ces derniers constituent la base des travaux présentés dans le manuscrit qui se sont déroulés de 2008 à 2011. La nature discontinue des communications impulsionnelles a tout d'abord impliquée l'introduction de nouvelles figures de mérite permettant de mesurer les performances des générateurs d'impulsions. Ensuite, il est question de méthodes de conception permettant de dimensionner des structures fonctionnant aux fréquences en jeu mais également d'en réduire les consommations statiques principalement de fuite, et ce en vue de répondre aux contraintes de consommation des systèmes embarqués. Enfin sont développées 3 architectures de générateurs d'impulsions, chacune permettant de répondre à des contraintes différentes en termes de bande de fréquences, de consommation et de portée
The information theory developed by Claude Shannon (1916 - 2001) highlights the fact that in order to increase the capacity of a transmission channel, it is preferable to extend the bandwidth used rather than the transmission power. This finding is the starting point of many papers on Ultra-Wideband (UWB) which led to the creation in the United States of UWB band since 2002 where no modulation is privileged. Two years later, many works on Impulsionnal Radio UWB (IR-UWB) communications began at IM2NP including the design of low noise amplifier, power detector, but also pulse generators which is the key element of IR-UWB emitters. These form basis of works presented in the manuscript that took place from 2008 to 2011. The discontinuous nature of communications impulse was first implied the introduction of new figures of merit for measuring performances of pulse generators. Then it deals with design techniques for sizing structures operating at frequencies involved, but also to reduce consumption and especially static leakage to reduce enough power consumption for embedded systems. Finally three architectures of pulse generators are developed, each one responding to different constraints in terms of frequency, consumption and range
21

Olivier, Aurélien. "Fabrication et caractérisation des transistors à effet de champ de la filière III-V pour applications basse consommation." Thesis, Lille 1, 2010. http://www.theses.fr/2010LIL10162/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Un système autonome est composé d’une interface capteur, d’un contrôleur numérique, d’une interface de communication et d’une source d’énergie et sa consommation doit être inférieure à environ 100 microW. Pour réduire la consommation de puissance, des nouveaux composants, les Green Transistor ont fait leur apparition sous différentes topologies, modes de fonctionnement et matériaux alternatifs au silicium. L’interface de communication est composée d’un transistor possédant de grandes performances électriques sous faible alimentation. Les topologies retenues sont le transistor à haute mobilité électronique (HEMT) et le transistor à effet de champ métal/oxyde/semi-conducteur (MOSFET) et seuls les matériaux de la filière III-V à faible énergie de bande interdite, faible masse effective et grande mobilité électronique devraient permettre d’atteindre ces objectifs. Des technologies de HEMTs antimoniés AlSb/InAs ainsi que des MOSFETs InGaAs ont été développées. Les mesures de transistors HEMTs AlSb/InAs ont montré des performances au dessus de 100GHz à 10mW/mm à température ambiante et cryogénique et nous pouvons espérer des transistors où 1mW/mm à 10GHz. Or, les courants de grille importants et la conservation d’un rapport d’aspect élevé dans une structure HEMT limitent la réduction du facteur de mérite puissance-fréquence. Ainsi, la technologie de transistors de type MOS InGaAs a été caractérisée durant ces travaux et les résultats dynamiques sont prometteurs (fT =120GHz, Lg=200nm) même si le processus de fabrication n’est pas complètement optimisé. Une perspective de ce travail est l’utilisation de matériaux antimoines pour la réalisation de MOSFET ultra faible consommation
An autonomous system is composed of a sensor, a digital controller, a communication interface and an energy source. Its consumption should be less than about 100 microW. To reduce power consumption, new components called the Green transistors have appeared in various topologies, operating modes and alternative materials to silicon. The communication interface consists of a transistor with high performances at low power supply. The topologies used are the high electron mobility transistor (HEMT) and the metal-oxide-semiconductor field-effect transistor (MOSFET) and only III-V-based channels with low bandgap energy, low effective mass and high electron mobility should achieve these goals. Antimonide based HEMTs (AlSb/InAs) and InGaAs MOSFETs technologies have been developed. Measurements of transistors AlSb /InAs HEMTs showed performance above 100GHz at 10mW/mm at room and cryogenic temperatures and transistors which 1mW/mm equals to 10GHz can be expected. However, significant gate currents and a high aspect ratio in a HEMT structure limit the reduction the factor of merit between the power and the cut-off frequency. Thus, the technology of InGaAs MOSFET has been characterized during this work and the RF results are promising (fT = 120GHz, Lg = 200nm) even if the process fabrication is not fully optimized. A perspective of this work is the use of antimonide materials for the realization of ultra low power MOSFET
22

Haloua, Abderrahmane. "Étude d’un protocole de communication asynchrone faible consommation à lien radio impulsionnel ultra-large bande : implémentation sur silicium des fonctions RF critiques." Thesis, Aix-Marseille, 2018. http://www.theses.fr/2018AIXM0255.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Au cours des dernières années, différents travaux de recherche ont été consacrés à l’étude et au développement des solutions de type réseau de capteurs sans fil. Ces travaux sont une réponse à l’augmentation du nombre d’objets connectés dans le monde avec le développement de l’internet des objets. La consommation d’énergie dans les réseaux de capteurs représente un des domaines les plus étudiés. Les communications dans les réseaux de capteurs représentent une part importante de leur consommation. Afin de réduire la consommation des communications dans les réseaux de capteurs, différents niveaux d’optimisation sont possibles. Dans ce contexte, différents travaux de recherches visant à réduire la consommation des émetteurs-récepteurs, grâce à des architectures innovantes, ont été menés à l’IM2NP. Beaucoup de ces travaux ont été consacrés aux radios impulsionnelles Ultra-Large Bande (ULB). En outre, les protocoles d’accès aux canaux de communications dans les réseaux de capteurs sont également importants quant à l’optimisation de leurs consommations. Les travaux de recherches proposés dans ce manuscrit, basés sur les travaux sur les radios impulsionnelles ULB réalisés au sein de l’IM2NP, proposent une optimisation de la consommation des réseaux de capteurs sur deux niveaux. Tout d’abord, un protocole asynchrone à base de radio de réveil d’accès au canal de communication adapté aux communications ULB est proposé ainsi que son étude énergétique. Suite à l'étude du protocole asynchrone proposé, la conception d’un récepteur de réveil semi-passif et d’un générateur d’impulsion ULB sous-GHz est abordée, et leurs performances en termes d’efficacité énergétique discutées
In recent years, research has been devoted to the study and development of Wireless Sensor Network (WSN). These research are a response to the increase of the connected objet number in the world with development of smartphones and Internet of things (IoT). Energy consumption in sensor networks is one of the most studied areas. In fact, the optimization of the consumption of the elements making up the sensor networks allows a reduction in the costs associated with their installation, operation and maintenance. Communications in sensor networks represent an important part of their power consumption. In order to reduce the consumption of communications in sensor networks, different levels of optimization are possible. In this context, various IM2NP research projects aimed at reducing transceiver consumption through innovative design were carried out. Much of this work has been devoted to Impulsionnal Radio Ultra-Wide Band (IR-UWB). Moreover, MAC protocols used to manage the communication channel access in wireless sensor networks are also important in optimizing their consumption. The research work proposed in this manuscript, based on the work on impulse radios UWB carried out within the IM2NP, propose an optimization of the consumption of sensor networks on two levels. Firstly, an asynchronous MAC protocol based on radio wake-up radio suitable for UWB communications is proposed as well as its energy study. Following the study of the proposed asynchronous protocol, the design of a low power semi-passive wake-up receiver (WuRx) and a UWB sub-GHz impulse generator is presented and their performance in terms of energy efficiency discussed
23

Mbarek, Ons. "Une approche de modélisation au niveau système pour la conception et la vérification de systèmes sur puce à faible consommation." Phd thesis, Université Nice Sophia Antipolis, 2013. http://tel.archives-ouvertes.fr/tel-00837662.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Une solution de gestion de puissance d'un système sur puce peut être définie par une architecture de faible puissance composée de multiples domaines d'alimentation et de leur stratégie de gestion. Si ces deux éléments sont économes en énergie, une solution efficace en énergie peut être obtenue. Cette approche nécessite l'ajout d'éléments structurels de puissance et de leurs comportements. Une stratégie de gestion doit respecter les dépendances structurelles et fonctionnelles dues au placement physique des domaines d'alimentation. Cette relation forte entre l'architecture et sa stratégie de gestion doit être analysée tôt dans le flot de conception pour trouver la solution de gestion de puissance la plus efficace. De récentes normes de conception basse consommation définissent des sémantiques pour la spécification, simulation et vérification d'architecture de faible puissance au niveau transfert de registres (RTL). Mais elles manquent une sémantique d'interface de gestion des domaines d'alimentation réutilisable ce qui alourdit l'exploration. Leurs sémantiques RTL ne sont pas aussi utilisables au niveau transactionnel pour une exploration plus rapide et facile. Pour combler ces lacunes, cette thèse étend ces normes et fournit une étude complète des possibilités d'optimisation de puissance basées sur la composition et la gestion des domaines d'alimentation pour des modèles fonctionnels transactionnels utilisant un environnement commun USLPAF. USLPAF comprend une méthodologie alliant conception et vérification des modèles transactionnels de faible consommation, ainsi qu'une bibliothèque de techniques de modélisation et fonctions prédéfinies pour appliquer cette méthodologie.
24

Samir, Anass. "Conception de solutions basses puissances et optimisation de la gestion d'énergie de circuits dédiés aux applications mixtes." Thesis, Aix-Marseille, 2013. http://www.theses.fr/2013AIXM4700.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Depuis trois décennies, la tendance du marché répond à la demande actuelle de miniaturisation et d'augmentation de performances des appareils multimédias. Or, toute réduction des dimensions d'un facteur donné impose une diminution des tensions (pour des raisons de fiabilité). Afin d'y répondre, la réduction de taille des circuits intégrés CMOS atteint des échelles d'intégration submicroniques entrainant une baisse importante de la fiabilité des composants et en particulier des transistors. La création de porteurs chauds, ainsi que la dissipation thermique à l'intérieur des circuits submicroniques, sont les deux phénomènes physiques principaux à l'origine de la baisse de fiabilité. La solution technique permettant de garder un bon degré de fiabilité, tout en réduisant la taille des composants, consiste à réduire la tension d'alimentation des circuits. Parallèlement aux contraintes de performances, les normes environnementales demandent une consommation la plus réduite possible. La difficulté consiste alors en la réalisation de circuits associant une alimentation basse puissance (tension et courant) d'où la notion de circuits " Low Power ". Ces circuits sont pour certains déjà utilisés dans le domaine du multimédia, du médical, avec des contraintes d'intégration différentes (possibilité de composants externes, stabilité, etc.). L'augmentation des performances en vitesse des circuits digitaux nécessite par ailleurs l'utilisation de technologies générant des fuites de plus en plus importantes qui sont incompatibles avec une réduction de la consommation dans des modes de veille sans la mise en place de nouvelles techniques
For three decades, the market trend answers the current demand of miniaturization and performance increase of the multimedia devices. Yet, any reduction of the dimensions of a given factor imposes a decrease of the tensions (for reasons of reliability). To answer this question, the downsizing of CMOS integrated circuits reaches submicron scales of integration resulting in a significant decrease in the reliability of components and in particular transistors. The hot carriers creations, as well as heat dissipation within the submicron circuits, are the two main physical phenomena behind the reliability decline. The technical solution to maintain a good degree of reliability, while reducing component size, is to reduce the supply voltage of circuits. In parallel to performance constraints, environmental standards require consumption as small as possible. The challenge is then to build circuits combining low power supply (voltage and current) where the concept of circuits "Low Power". These circuits are used for some already in the field of multimedia, medical, integration with various constraints (possibility of external components, stability, etc..). The speed increase performance of digital circuits also requires the use of technologies that generate leaks increasingly important that are inconsistent with consumption reduction in standby modes without the introduction of new techniques
25

Verdant, Arnaud. "Architectures adaptatives de traitement des images dans le plan focal." Paris 11, 2008. http://www.theses.fr/2008PA112361.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les capteurs d'images font partie intégrante de notre quotidien. Ces dispositifs sont le plus souvent implémentés au sein de produits nomades pour lesquels subsistent de fortes contraintes de consommation d'énergie. Les images acquises par de tels capteurs contiennent en effet de nombreuses redondances spatiales mais également temporelles lorsque l'on considère un flux vidéo. De nombreuses données sont alors inutilement traitées, transmises et mémorisées, induisant de ce fait un défaut d'autonomie pour de tels systèmes. Les travaux de thèses effectués ont eu pour objectif de répondre à cette contrainte de consommation, en définissant de nouvelles approches architecturales permettant un traitement des images en bordure et au sein de la matrice de pixels, afin d'adapter les ressources du capteur en fonction de l'activité de la scène observée. Ainsi, de nouveaux concepts d'acquisition et de traitement relatifs à la détection de mouvement ont été étudiés. L'architecture de traitement, dérivée des algorithmes développés, a par la suite été développée, tout en proposant des solutions permettant de garantir l'intégrité des données analogiques. Une méthode de modélisation originale a enfin été mise en œuvre afin de valider les concepts proposés, du point de vue de la cohérence des traitements, de leur robustesse et de la consommation induite. Enfin, un démonstrateur a été réalisé en vue de valider l'implémentation silicium de l'architecture conçue. Les gains en consommation estimés sont ainsi de 30 à 700 en comparaison de capteurs de l'état de l'art
Image sensors are an integral part of our daily lives. These deviees are most commonly implemented in mobile products for which remain strong constraints of energy consumption. Indeed, the images captured by such sensors contain many spatial and time redundancies when considering a video stream. Many data are unnecessarily processed, transmitted and stored, thereby inducing a lack of autonomy in such systems. The thesis work carried out aimed to address this power constraint by defining new architectural approaches to image processing within the matrix of pixels, to adapt sensor resources based on the activity of the observed scene. Thus, new concepts of acquisition and processing related to motion detection have been studied. The processing architecture, derived from subsequently developed algorithms, while offering solutions to ensure the integrity of the analog data. Original modelling methodology was finally implemented in order to validate the proposed concepts, to ensure the processing consistency, robustness and induced consumption. Finally, a demonstrator was designed to validate the silicon implementation of the architecture. The power consumption gains are estimated from 30 to 700 compared to the image sensor sensors state of the art
26

Al, Khatib Chadi. "Conception de dispositifs de contrôle asynchrones et distribués pour la gestion de l’énergie." Thesis, Université Grenoble Alpes (ComUE), 2016. http://www.theses.fr/2016GREAT016/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les systèmes intégrés sont aujourd’hui de plus en plus fréquemment confrontés à des contraintes de faible consommation ou d’efficacité énergétique. Ces problématiques se doivent d’être intégrées le plus en amont possible dans le flot de conception afin de réduire les temps de design et d’éviter de nombreuses itérations dans le flot. Dans ce contexte, le projet collaboratif HiCool, partenariat entre les laboratoires LIRMM et TIMA, les sociétés Defacto, Docea et ST Microelectronics, a mis en place une stratégie et un flot de conception pour concevoir des systèmes intégrés faible consommation tout en facilitant la réutilisation de blocks matériels (IPs) existants. L’approche proposée dans cette thèse s’intègre dans cette stratégie en apportant une petite dose d’asynchronisme dans des systèmes complètement synchrones. En effet, la réduction de la consommation est basée sur le constat que l’activation permanente de la totalité du circuit est inutile dans bien des cas. Néanmoins, contrôler l’activité avec des techniques de « clock gating » ou de « power gating » nécessitent usuellement d’effectuer un re-design du système et d’ajouter un organe de commande pour contrôler l’activation des zones effectuant un traitement. Le travail présenté dans ce manuscrit définit une stratégie basée sur des contrôleurs d’horloge et de domaine d’alimentation, asynchrones, distribués et facilement insérables dans un circuit avec un coût de re-design des plus réduit
Today integrated systems are increasingly faced with the constraints of low consumption or energy efficiency. These issues need to be integrated as far upstream as possible in the design flow to reduce design time and avoid much iteration in the flow. In this context, the collaborative project HiCool, between LIRMM and TIMA laboratories, Defacto, Docea and ST Microelectronics companies, has set up a strategy and design flow to design integrated low power systems while facilitating the reuse of existing hardware blocks (IPs). The approach proposed in this thesis fits into this strategy by bringing a small dose of asynchrony in completely synchronous systems. Indeed, the reduction in consumption is based on the observation that permanent activation of the entire circuit is unnecessary in many cases. However, controlling the activity with techniques of "clock gating" or "power gating" usually need to perform a re-design of the system and to add a control device for controlling activation of areas effecting treatment. The work presented in this manuscript provides a strategy based clock controllers and power domain, asynchronous, distributed and easily insertable into a circuit with a low cost design
27

Camus, Manuel. "Architecture de réception RF très faible coût et très faible puissance : application aux réseaux de capteurs et au standard ZigBee." Phd thesis, Université Paul Sabatier - Toulouse III, 2008. http://tel.archives-ouvertes.fr/tel-00309926.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le travail présenté ici s'inscrit dans la perspective du développement de modules électroniques à très faible coût et à très faible consommation pour les réseaux de capteurs sans fils (WSN). Il traite de la conception et du test d'une chaîne de réception RF compatible avec la norme IEEE 802.15.4 pour la bande ISM 2.4GHz. L'interface RF objet de notre étude inclue toutes les fonctions depuis l'antenne jusqu'au 1er étage du filtre analogique en bande de base, à partir duquel le gain devient suffisant pour masquer le bruit introduit par le reste de la chaîne de réception. Ce mémoire articulé autour de quatre chapitres, décrit toutes les étapes depuis la définition des spécifications de la chaîne de réception jusqu'à la présentation de ses performances, en passant par l'étude de son architecture et la conception de ses différents étages. Suite à l'étude de l'impact des interféreurs IEEE 802.15.4 et IEEE 802.11b présents dans la bande ISM 2.4GHz, une architecture utilisant une fréquence intermédiaire de 6MHz a été retenue. En outre, pour pouvoir répondre aux spécifications fixées, cette architecture est composée de plusieurs étages innovants ou originaux tels qu'un balun intégré trois accès, un amplificateur faible bruit sans inductance, un mélangeur passif piloté par un signal local (OL) à très faible rapport cyclique ainsi qu'un filtre bande de base optimisé en bruit et en linéarité. Intégré dans une technologie CMOS 90nm, ce récepteur occupe une surface de 0.07mm², ou 0.23mm² en incluant le balun intégré, qui représente une réduction de 70% par rapport à l'état de l'art des puces compatibles avec le standard IEEE 802.15.4. En prenant en compte la consommation dynamique de toute la chaîne de mise en forme du signal OL, la tête de réception précédemment décrite consomme seulement 4mA sous une tension d'alimentation de 1.35V. Enfin, en incluant le balun intégré, le gain est de 35dBv/dBm, le facteur de bruit de 7.5dB, l'IIP3 de -10dBm et la réjection d'image supérie ure à 32dB. Ces performances placent ce récepteur parmi les récepteurs RF les plus performants pour cette application. Les nombreux principes mis en Suvre sont par ailleurs transposables à d'autres bandes de fréquences et à d'autres standards de communication.
28

Dusch, Yannick. "Nano-Système Magnéto-Électro-Mécanique (NMEMS) ultra-basse consommation pour le traitement et le stockage de l'information." Phd thesis, Ecole Centrale de Lille, 2011. http://tel.archives-ouvertes.fr/tel-00697174.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Avec le développement des nouvelles technologies de l'information et de la communication (NTIC), la consommation énergétique des systèmes de traitement et de stockage de données est devenue un problème majeur. Les limites des systèmes actuels à cet égard impliquent le besoin de technologies de rupture ultra-basse consommation.Cette thèse propose une approche originale de cette problématique, basée sur l'utilisation d'un élément magnétoélectrique composite (piézoélectrique/magnétostrictif) bistable et commandable de façon univoque, baptisé MELRAM.L'étude énergétique statique montre que la combinaison d'une anisotropie uni-axiale et d'un champ de polarisation magnétique statique définit deux positions d'équilibre stables perpendiculaires pour l'aimantation dans la partie magnétostrictive. L'application de contraintes piézoélectriques sur celle-ci permet de contrôler électriquement la position de l'aimantation. L'étude énergétique du système permet également de montrer la stabilité du système à long terme (10 ans), dans une large gamme de températures autour de l'ambiante, avec une barrière énergétique de 60kBT. L'étude dynamique, utilisant le modèle du macrospin, permet quant à elle d'exhiber un temps de réponse inférieur à 1ns. L'énergie dissipée lors de l'écriture, d'origine électrique et magnétique, est évaluée à 261kBT (1,1aJ), soit quatre ordres de grandeur en dessous de l'état de l'art.Plusieurs stratégies de lecture par vanne de spin et jonction tunnel magnétique sont proposées et commentées. Les premières réalisations d'éléments nanométriques magnétostrictifs sont présentées ainsi qu'une solution de polarisation magnétique intégrée par aimant permanent.
29

Bedier, Mohammed. "Circuits d’interface intégrés sur silicium pour une gestion optimale de la puissance dans les récupérateurs d’énergie vibratoire à transduction capacitive." Thesis, Paris 6, 2017. http://www.theses.fr/2017PA066376/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les vibrations ambiantes representent une source potentielle d'energie pour alimentation des capteurs sans fil autonomes. La transduction electrostatique est une des techniques utilisees pour la conversion de l'energie des vibrations en electricite. De nombreuses realisations des transducteurs et leurs circuits de conditionnement ont deja ete presentees dans la litterature. Pour transmettre l'energie convertie vers une charge utile des interfaces specifiques doivent etre concues. Ce dernier sujet a ete peu aborde dans la litterature. Ce travail etudie une interface avec la charge dans un dispositif de recuperation d'energie vibratoire. L'architecture proposee au cours de cette etude est adaptee aux circuits de conditionnement de type pompe de charge, qui fonctionne selon un cycle charge-tension rectangulaire. L'interface proposee accomplit deux taches. Premierement, il permet de transferer l'energie electrique du circuit de conditionnement vers une charge tout en abaissant la tension d'une maniere adiabatique, c.a.d., en minimisant les dissipations. Deuxiemement, il permet de reguler le debit d'extraction d'energie du circuit de conditionnement en ajustant dynamiquement la puissance de ce transfert. Cela est realise avec un circuit integree en technologie 0.35um CMOS haute tension dont l'architecture est inspiree d'un convertisseur DCDC de type Buck fonctionnant en regime discontinu. La consommation de l'interface est minimisee grace a l'utilisation du regime sous le seuil des transistors MOS pour pratiquement tous les blocs, grace a une alimentation reduite a 1.1V. L'interface consomme en dessous de 100nW, et est capable de gerer des sources d'energie a puissance < 1uW
Vibrational energy is an attractive power source for self-powered wireless sensors. A mainstream harvesting technique for vibrational energy is electrostatic MEMS harvesters. Various circuit architectures have already been introduced with many successful implementation, yet a load interface that efficiently manages the harvested energy has rarely been reported. In this work a load interface is proposed which is suited for any condition circuit (CC) implementing rectangular QV cycles. In general, a rectangular QV conditioning circuit has an optimum interval of which the energy harvested is maximised, thus the harvested energy should be periodically removed to maintain maximising the harvested energy. This is achieved through the load interface (LI). The LI proposed is a switched inductor capacitive architecture with a LI controller allowing the extraction of the energy in a multiple energy shot fashion. The LI controller incorporate an ultra low power clock for switching events and low power comparator for switching decision. Power consumption is reduced by operating at a low supply voltage (1.1V). The LI is implemented in AMS0.35HV technology with a mixed high voltage-low power control blocks. It takes into account the harvester operation to maximise its extracted energy. It overcomes the constrained limited biasing power, tackles resistive losses and power handling transistor long channels by transferring the energy in a multiple shots fashion. A CMOS implementation is proposed along with simulation results showing an average consumed power of the controller less than 100nW allowing the system to operate with input power levels as low as few hundreds of nano-watts
30

Courdouan, Elie. "Développement d'un module BMS multi-sources harvesting." Thesis, Aix-Marseille, 2019. http://www.theses.fr/2019AIXM0633.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Avec le développement des applications mobiles (téléphonie, IoT, domotique, …), les systèmes embarqués ont montré une croissance exponentielle ces dernières années. Or la principale caractéristique de ces nouveaux systèmes est la combinaison d’une puissance de calcul importante avec une grande autonomie de fonctionnement. Malheureusement, ces caractéristiques étant diamétralement opposées, les concepteurs de systèmes se heurtent à un dilemme leur imposant de limiter la puissance embarquée. Afin de pallier ce problème d'autonomie, de plus en plus d'architectures se tournent vers la mise en place d'étages de récupération d'énergie depuis une ou plusieurs sources. Dans le cadre d'une thèse CIFRE, l'étude a ainsi été portée sur la conception d'un module de récupération d'énergie optimisé s'interfaçant sur plusieurs sources. Deux directions ont ainsi été trouvées afin d'augmenter la quantité récupérée par un tel dispositif :- Le développement de l'approche multisources afin de proposer une architecture industrialisable.- L'optimisation des quantités d'énergie extraites de chaque source par l'intermédiaire d'algorithmes nouvelle génération permettant la recherche du point de fonctionnement maximum. Ces derniers sont ainsi optimisés grâce aux progrès réalisés récemment dans le domaine du Deep Learning et la commercialisation de microcontrôleurs à faible puissance de plus en plus performants. L’objectif final étant de déployer des réseaux de capteurs à grande échelle et faible coût, dont l’autonomie est améliorée voire infinie si le système parvient à produire plus d’énergie que ce qu’il consomme
With the development of mobile applications, such as telecoms, IoT and home automation, embedded systems have shown an exponential growth over the past years. The main characteristic of these newly build systems is to combine high processing capabilities and extended operational autonomy. Unfortunately, these parameters are fundamentally opposed and hardware designer facing this issue by limiting processing capability to ensure enough autonomy. To solve this autonomy problem, newly architectures choose to implement an energy harvesting stage with one or more sources. As part of this industrial thesis, the study has been carried out on the design of an optimized energy harvesting module using one or more sources. Two directions were found to increase the quantity of harvested energy: - Interfacing multiple harvester from complementary source in an industrialized architecture - Optimization of produced energy from each source by using next-generation algorithms of Maximum Power Point Tracking. These algorithms are optimized thanks to technical advances made in the field of Deep Learning and the availability of more efficient low power microcontroller. The final goal of this study is to deploy a low cost wide area network of sensors with enhanced or infinite autonomy
31

Hossain, Mohaimenul. "Green Metrics to Improve Sustainable Networking." Thesis, Université de Lorraine, 2019. http://www.theses.fr/2019LORR0201.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le monde actuel reposant sur une économie et des activités personnelles de plus en plus digitalisées a pour conséquence que la quantité d’information circulant à travers internet ne cesse de croître d’année en année. Les architectures de communication doivent être dimensionnées en conséquence, voire surdimensionnées pour anticiper les besoins futurs ou pour pallier des pics de trafic ou encore des défaillances éventuelles. À cela s’ajoute des systèmes de refroidissement pour garantir le bon fonctionnement des équipements d’interconnexion. Aussi, actuellement les réseaux représentent 20\% de la consommation d’énergie de la sphère numérique sachant que si cette sphère était un pays, elle sera au cinquième rang des pays en terme de consommation d’énergie. Il est donc essentiel de trouver des stratégies d’optimisation considérant à la fois cette consommation tout en maintenant la Qualité de Services exigée par les utilisateurs de l’internet. Cette thèse propose tout d’abord un premier un algorithme de routage permettant de réduire l’électricité nécessaire pour alimenter le réseau en utilisant deux mécanismes : l’endormissement des nœuds et l’adaptation des débits des lignes de transmission. Le problème étant NP difficile, des algorithmes génétiques sont employés. Les résultats montrent l’intérêt de cette approche sur le réseau Européen Géant-2 et cela en se reposant sur des architectures SDN (Software Defined Network). Cependant, une optimisation basée uniquement sur l’électricité peut être une vue tronquée sur l’impact environnemental de l’internet. Dans la suite de cette thèse, le type d’énergie utilisé pour produire l’électricité a été pris en compte pour analyser le routage selon deux autres indicateurs : le taux d’énergie renouvelable et le taux d’émission carbone. Les algorithmes ainsi développés sont de nouveau appliqués à Géant-2 pour illustrer l’incidence de ces nouveaux indicateurs sur le routage. Finalement, la reconfiguration du plan de contrôle du réseau doit se faire au rythme de l’évolution du trafic. Mais cela peut générer une instabilité du système de communication et donc une rupture de Qualité de Service. Aussi, des mécanismes de filtrage et de pénalité sont introduits dans les précédents algorithmes pour éliminer des changements intempestifs de reconfiguration néfastes au maintien global de la performance du réseau
Achieving energy efficiency has in recent times become a major concern of networking research due to the ever-escalating power consumption and CO2 emissions produced by large data networks. This problem is becoming more and more challenging because of the drastic traffic increase in the last few years and it is expected to be increased even more in the coming years. Using efficient energy-aware strategies that could overturn this situation by reducing the electricity consumption as well as mitigating the environmental impact of data transmission networks. However, CO2 and energy consumption cannot be considered proportionate if the means of electricity production differs. This research work focuses on reducing the environmental impact of data transmission network by implementing energy aware routing, where unused network devices will be put into sleep/shut down and high capacity links will be adapted according to demand requirement. But, alongside with energy, this work has introduced two different metrics namely carbon emission factor and non-renewable energy usage percentage, which are considered as objective functions for designing green network. Here a centralized approach like using Software-Defined Networking (SDN), is used for designing to solve this problem as they allow flexible programmability suitable for this problem. Our proposal proposes a routing technique using genetic algorithm that minimizes the number of network-elements required and at the same time adapt the bandwidth capacity while satisfying an incoming traffic load. Different from existing related works, we focus on optimizing not only energy consumption but also carbon emission and non-renewable energy consumption in SDN in order to close this important gap in the literature and provide solutions compatible with operational backbone networks. Complementing the general aim of improving the environmental impact of data transmission network, this research is also intended to cover important related features such as realistic large demand size, network performance, and Quality of Service (QoS) requirements. At the same time this work focuses on network stability and analyzes the impact of network stability while implementing a green solution. Our work proposes a penalty and filtering mechanism which helps to find an optimal balance between stability and green networking. By using realistic input data, significant values of switched-off links and nodes are reached which demonstrate the effectiveness of our algorithm. The obtained result validated the importance of considering environmental factors rather than considering only energy. Results also show the trade-off between environmental and performance concerns, considering a couple of performance indicators. Moreover, it is shown that the penalty and filtering mechanism is an effective approach to avoid incoherent system and improve the stability of the system. As a whole, this conducted research and contributions reported through this manuscript stand as a valuable solution on the road to sustainable networking
32

Saligane, Mehdi. "Adaptive body biasing system for margins reduction using delay and temperature monitoring at near threshold operation." Thesis, Aix-Marseille, 2016. http://www.theses.fr/2016AIXM4716.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La conception de circuit à très faible tension d'alimentation est un moyen depuis longtemps connu pour diminuer la consommation d'énergie des circuits pour un même service rendu [VITTOZ weak inversion]. La faible tension permet de gagner à la fois en courant de fuite [K ROY leakage] et surtout en courant dynamique qui reste la partie de l'énergie consommée la plus ardue a maîtriser. Elle s'accompagne d'un délai multiplié par plusieurs ordres de grandeur et une sensibilité accrue aux variations de paramètres des dispositifs. Cette variation étant plus grande dans les technologies récentes, la conception à très faible tension était jusqu'à récemment limitée aux nœuds technologiques en deçà de 40nm, mais des avancées récentes en technologie 32nm ont été publiés [TI ISSCC2011]. Un premier travail de thèse [ABOUZEID PhD], a permis de confirmer la faisabilité de la conception de circuit ULV. Plus précisément ont été démontrées : · une méthodologie de conception de cellules logiques en technologie 90nm, 65nm, 45nm et 40nm · une adaptation des flots automatiques d'implémentation et de vérification en 40nm · un précurseur de SRAM en CMOS65nm Sur cette base le présent travail de thèse consistera en l'élargissement de l'éventail du champ de conception ULV vers la gestion d'alimentation, la compensation des conditions environnementales et l'optimisation architecturale afin de préparer l'industrialisation de futures applications ULV
IoT applications continue to push towards ultra-low-power constrained ASICs, creating severe challenges to achieve sufficient power efficiency in extreme Voltage and Temperature conditions. Thus, it is necessary to build closed-loop compensation systems that are autonomous to environmental conditions especially temperature at sub-threshold regime. Two major work are proposed: an adaptive techniques that allow to enhance the performance of designs that leverage aggressive voltage scaling. we fully exploits the FD-SOI 28nm technology dual gate capabilities to both attain optimal power efficiency points and compensate for gradual changes in overall device performance due to process, voltage, and temperature variations. Our proposed compensation Unit system is a fully-digital error-prediction solution providing a compromise between industry reliability requirements and manufacturing guard-band reduction with low-invasiveness and post-silicon tunability. Critical-Paths timing monitors are distributed across the processor and tuned to match the closest critical paths. A programmable workload emulator allows to adapt and take into account the processor tasks. Generated warning Flags due to V-T variations are analyzed based on an adjustable warning rate and body bias is adapted correspondingly. Based on the operation voltage, either fine or coarse body biasing can be activated for compensation. The second part of this thesis addresses on-chip temperature monitoring that plagues aggressively voltage scaled ASICs. We propose to closely monitor temperature fluctuations at low-voltage but also hot-spot detection at nominal and over-drive supply voltage conditions
33

AYADI, Ahmed. "L'efficacité énergétique des protocoles de transport fiables pour les réseaux sans fil à faible consommation d'énergie." Phd thesis, 2012. http://tel.archives-ouvertes.fr/tel-00741994.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Low power and Lossy Networks (LLNs) such as wireless sensor networks are currently used in many important applications fields such as remote environment monitoring and target tracking. This deployment has been enabled by the availability, especially in recent years, of embedded micro-controller devices that are smaller and cheaper. These devices are equipped with wireless interfaces, with which they can communicate with each other to form a network. In this thesis we focus on studying the energy consumption of reliable transport protocols over LLNs. Recently, much research has been carried out to improve the reliability and the congestion control on low power networks. Some of these works have considered TCP inappropriate for this kind of networks. Indeed, the idea of deploying TCP was rejected due to its header overhead, its end-to-end retransmission mechanism, its large rate of acknowledgment, and the impact of the lower layers fragmentation on the energy consumption. Nonetheless, the use of standard TCP/IP protocols offers the advantage of a seamless connectivity between the wireless network and the Internet. TCP allows easily the use of standard applications (HTTP, SSH) for some tasks like reprogramming of nodes or firmware updates, without the need of deploying complex proxies in border routers. In the first part of this work, we study the energy consumption of TCP and the ways that reduce its energy consumption. We study one of the proposed TCP algorithms to reduce the end-to-end retransmissions cost and we propose some improvements that allow it to reduce the energy consumption. Then, we study the compression of the TCP header over low-power and lossy networks and we consider IPv6 over Low power Wireless Personnel Area Networks (6LoWPAN) as an example. We propose a new TCP header compression algorithm that reduces the TCP header size to about six bytes. In the second part, we propose a mathematical model that allows to estimate the energy consumption of wireless nodes. Using the model, we study the tradeoff between sending long and short TCP segments and their impact on the energy consumption. Finally, we study the impact of a new fragment recovery mechanism on the energy performance of TCP.

До бібліографії