Academic literature on the topic 'Cache hierarchy'
Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles
Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Cache hierarchy.'
Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.
You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.
Journal articles on the topic "Cache hierarchy"
Yavits, Leonid, Amir Morad, and Ran Ginosar. "Cache Hierarchy Optimization." IEEE Computer Architecture Letters 13, no. 2 (July 29, 2014): 69–72. http://dx.doi.org/10.1109/l-ca.2013.18.
Full textZhao, Huatao, Xiao Luo, Chen Zhu, Takahiro Watanabe, and Tianbo Zhu. "Behavior-aware cache hierarchy optimization for low-power multi-core embedded systems." Modern Physics Letters B 31, no. 19-21 (July 27, 2017): 1740067. http://dx.doi.org/10.1142/s021798491740067x.
Full textTabak, Daniel. "Cache and Memory Hierarchy Design." ACM SIGARCH Computer Architecture News 23, no. 3 (June 1995): 28. http://dx.doi.org/10.1145/203618.564957.
Full textFranaszek, P. A., L. A. Lastras-Montano, S. R. Kunkel, and A. C. Sawdey. "Victim management in a cache hierarchy." IBM Journal of Research and Development 50, no. 4.5 (July 2006): 507–23. http://dx.doi.org/10.1147/rd.504.0507.
Full textGarashchenko, A. V., and L. G. Gagarina. "An Approach to the Formation of Test Sequences Based on the Graph Model of the Cache Memory Hierarchy." Proceedings of Universities. ELECTRONICS 25, no. 6 (December 2020): 548–57. http://dx.doi.org/10.24151/1561-5405-2020-25-6-548-557.
Full textDing, Wei, Yuanrui Zhang, Mahmut Kandemir, and Seung Woo Son. "Compiler-Directed File Layout Optimization for Hierarchical Storage Systems." Scientific Programming 21, no. 3-4 (2013): 65–78. http://dx.doi.org/10.1155/2013/167581.
Full textCARAZO, PABLO, RUBÉN APOLLONI, FERNANDO CASTRO, DANIEL CHAVER, LUIS PINUEL, and FRANCISCO TIRADO. "REDUCING CACHE HIERARCHY ENERGY CONSUMPTION BY PREDICTING FORWARDING AND DISABLING ASSOCIATIVE SETS." Journal of Circuits, Systems and Computers 21, no. 07 (November 2012): 1250057. http://dx.doi.org/10.1142/s0218126612500570.
Full textFeliu, Josue, Salvador Petit, Julio Sahuquillo, and Jose Duato. "Cache-Hierarchy Contention-Aware Scheduling in CMPs." IEEE Transactions on Parallel and Distributed Systems 25, no. 3 (March 2014): 581–90. http://dx.doi.org/10.1109/tpds.2013.61.
Full textZahran, Mohamed M. "On cache memory hierarchy for Chip-Multiprocessor." ACM SIGARCH Computer Architecture News 31, no. 1 (March 2003): 39–48. http://dx.doi.org/10.1145/773365.773370.
Full textYan, Mengjia, Bhargava Gopireddy, Thomas Shull, and Josep Torrellas. "Secure Hierarchy-Aware Cache Replacement Policy (SHARP)." ACM SIGARCH Computer Architecture News 45, no. 2 (September 14, 2017): 347–60. http://dx.doi.org/10.1145/3140659.3080222.
Full textDissertations / Theses on the topic "Cache hierarchy"
Huang, Cheng-Chieh. "Optimizing cache utilization in modern cache hierarchies." Thesis, University of Edinburgh, 2016. http://hdl.handle.net/1842/19571.
Full textSettle, M. W. Alexander. "An adaptive chip multiprocessor cache hierarchy." Connect to online resource, 2007. http://gateway.proquest.com/openurl?url_ver=Z39.88-2004&rft_val_fmt=info:ofi/fmt:kev:mtx:dissertation&res_dat=xri:pqdiss&rft_dat=xri:pqdiss:3256380.
Full textKurian, George Ph D. Massachusetts Institute of Technology. "Locality-aware cache hierarchy management for multicore processors." Thesis, Massachusetts Institute of Technology, 2015. http://hdl.handle.net/1721.1/97806.
Full textCataloged from PDF version of thesis.
Includes bibliographical references (pages 185-194).
Next generation multicore processors and applications will operate on massive data with significant sharing. A major challenge in their implementation is the storage requirement for tracking the sharers of data. The bit overhead for such storage scales quadratically with the number of cores in conventional directory-based cache coherence protocols. Another major challenge is limited cache capacity and the data movement incurred by conventional cache hierarchy organizations when dealing with massive data scales. These two factors impact memory access latency and energy consumption adversely. This thesis proposes scalable efficient mechanisms that improve effective cache capacity (i.e., by improving utilization) and reduce data movement by exploiting locality and controlling replication. First, a limited directory-based protocol, ACKwise is proposed to track the sharers of data in a cost-effective manner. ACKwise leverages broadcasts to implement scalable cache coherence. Broadcast support can be implemented in a 2-D mesh network by making simple changes to its routing policy without requiring any additional virtual channels. Second, a locality-aware replication scheme that better manages the private caches is proposed. This scheme controls replication based on data reuse information and seamlessly adapts between private and logically shared caching of on-chip data at the fine granularity of cache lines. A low-overhead runtime profiling capability to measure the locality of each cache line is built into hardware. Private caching is only allowed for data blocks with high spatio-temporal locality. Third, a Timestamp-based memory ordering validation scheme is proposed that enables the locality-aware private cache replication scheme to be implementable in processors with out-of-order memory that employ popular memory consistency models. This method does not rely on cache coherence messages to detect speculation violations, and hence is applicable to the locality-aware protocol. The timestamp mechanism is efficient due to the observation that consistency violations only occur due to conflicting accesses that have temporal proximity (i.e., within a few cycles of each other), thus requiring timestamps to be stored only for a small time window. Fourth, a locality-aware last-level cache (LLC) replication scheme that better manages the LLC is proposed. This scheme adapts replication at runtime based on fine-grained cache line reuse information and thereby, balances data locality and off-chip miss rate for optimized execution. Finally, all the above schemes are combined to obtain a cache hierarchy replication scheme that provides optimal data locality and miss rates at all levels of the cache hierarchy. The design of this scheme is motivated by the experimental observation that both locality-aware private cache & LLC replication enable varying performance improvements across benchmarks. These techniques enable optimal use of the on-chip cache capacity, and provide low-latency, low-energy memory access, while retaining the convenience of shared memory and preserving the same memory consistency model. On a 64-core multicore processor with out-of-order cores, Locality-aware Cache Hierarchy Replication improves completion time by 15% and energy by 22% over a state-of-the-art baseline while incurring a storage overhead of 30.7 KB per core. (i.e., 10% the aggregate cache capacity of each core).
George Kurian.
Ph. D.
Valls, Mompó Joan Josep. "Improving Energy and Area Scalability of the Cache Hierarchy in CMPs." Doctoral thesis, Universitat Politècnica de València, 2017. http://hdl.handle.net/10251/79551.
Full textConforme se incrementa el número de núcleos en las nuevas generaciones de multiprocesadores en chip, los CMPs deben de escalar en prestaciones, área y consumo energético para cumplir con las demandas de un número núcleos mayor. Los protocolos basados en directorio constituyen la alternativa más escalable. Un directorio convencional, no obstante, sufre de una utilización ineficiente de almacenamiento y energía. En primer lugar, los grandes y poco escalables vectores de compartidores consumen una cantidad de energía de fuga y de área innecesaria, especialmente si se tiene en consideración que la mayoría de los bloques en un directorio solo se encuentran en la cache de un único núcleo. En segundo lugar, aunque incrementar el tamaño y la asociatividad del directorio aumentaría las prestaciones del sistema, esto supondría un incremento notable en el consumo energético. Esta tesis estudia las diferencias significativas entre el comportamiento de bloques privados y compartidos en el directorio, lo que nos lleva hacia una gestión separada para cada uno de los tipos de bloque. Proponemos el PS-Directory, una cache de directorio de dos niveles que mantiene el reducido número de las entradas compartidas, que son los que se acceden con más frecuencia, en una estructura pequeña de primer nivel (concretamente, la Shared Directory Cache) y que utiliza una estructura más grande y lenta en el segundo nivel (Private Directory Cache) para poder mantener la información de los bloques privados. Los resultados experimentales muestran que, comparado con un directorio convencional, el PS-Directory consigue mejorar las prestaciones a la vez que reduce el área de silicio y el consumo energético. Ya que el ratio compartido/privado de las entradas en el directorio varia entre aplicaciones y entre las diferentes fases de ejecución dentro de las aplicaciones, proponemos el Dynamic Way Partitioning (DWP) Directory. El DWP-Directory reduce el número de vías que almacenan entradas compartidas y permite que éstas se enciendan o apaguen en tiempo de ejecución según los requisitos dinámicos de las aplicaciones según un algoritmo de reparticionado. Los resultados muestran unas prestaciones similares a un directorio tradicional de alta asociatividad y un área similar a otros esquemas recientes del estado del arte. Adicionalmente, el DWP-Directory obtiene importantes reducciones de consumo estático y dinámico. Esta disertación también se enfrenta a los problemas de escalabilidad que se pueden encontrar en las memorias cache. En un acceso a la cache, se accede a cada vía del conjunto en paralelo, siendo así un acción costosa en energía. Esta tesis presenta la arquitectura PS-Cache, un diseño energéticamente eficiente que reduce el número de vías accedidas sin perjudicar las prestaciones. La PS-Cache utiliza la información del estado privado-compartido del bloque referenciado para reducir la energía, ya que tan solo accedemos a un subconjunto de las vías que mantienen los bloques del tipo solicitado. Los resultados muestran unos importantes ahorros de energía dinámica. Finalmente, proponemos otro diseño de arquitectura energéticamente eficiente que se puede aplicar a cualquier tipo de memoria cache asociativa por conjuntos. La propuesta, la Tag Filter (TF) Architecture, filtra las vías accedidas en el conjunto de la cache, de manera que solo se mira un número reducido de vías tanto en el array de etiquetas como en el de datos. Esto permite que nuestra propuesta reduzca el consumo de energía dinámico de las caches sin perjudicar su tiempo de acceso. Los resultados experimentales muestran que este mecanismo de filtrado es capaz de obtener un consumo energético en caches asociativas por conjunto similar de las caches de mapeado directo. Los resultados experimentales muestran que las propuestas presentadas en esta tesis consiguen un buen compromiso entre estos tres importantes pilares de diseño.
Conforme s'incrementen el nombre de nuclis en les noves generacions de multiprocessadors en xip, els CMPs han d'escalar en prestacions, àrea i consum energètic per complir en les demandes d'un nombre de nuclis major. El protocols basats en directori són l'alternativa més escalable. Un directori convencional, no obstant, pateix una utilització ineficient d'emmagatzematge i energia. En primer lloc, els grans i poc escalables vectors de compartidors consumeixen una quantitat d'energia estàtica i d'àrea innecessària, especialment si es considera que la majoria dels blocs en un directori només es troben en la cache d'un sol nucli. En segon lloc, tot i que incrementar la grandària i l'associativitat del directori augmentaria les prestacions del sistema, això suposaria un increment notable en el consum d'energia. Aquesta tesis estudia les diferències significatives entre el comportament de blocs privats i compartits dins del directori, la qual cosa ens guia cap a una gestió separada per a cada un dels tipus de bloc. Proposem el PS-Directory, una cache de directori de dos nivells que manté el reduït nombre de les entrades de blocs compartits, que són els que s'accedeixen amb més freqüència, en una estructura menuda de primer nivell (concretament, la Shared Directory Cache) i que empra una estructura més gran i lenta en el segon nivell (Private Directory Cache) per poder mantenir la informació dels blocs privats. Els resultats experimentals mostren que, comparat amb un directori convencional, el PS-Directory aconsegueix millorar les prestacions a la vegada que redueix l'àrea de silici i el consum energètic. Ja que la ràtio compartit/privat de les entrades en el directori varia entre aplicacions i entre les diferents fases d'execució dins de les aplicacions, proposem el Dynamic Way Partitioning (DWP) Directory. DWP-Directory redueix el nombre de vies que emmagatzemen entrades compartides i permeten que aquest s'encengui o apagui en temps d'execució segons els requeriments dinàmics de les aplicacions seguint un algoritme de reparticionat. Els resultats mostren unes prestacions similars a un directori tradicional d'alta associativitat i una àrea similar a altres esquemes recents de l'estat de l'art. Adicionalment, el DWP-Directory obté importants reduccions de consum estàtic i dinàmic. Aquesta dissertació també s'enfronta als problemes d'escalabilitat que es poden tro- bar en les memòries cache. Les caches on-chip consumeixen una part significativa del consum total del sistema. Aquestes caches implementen un alt nivell d'associativitat. En un accés a la cache, s'accedeix a cada via del conjunt en paral·lel, essent així una acció costosa en energia. Aquesta tesis presenta l'arquitectura PS-Cache, un disseny energèticament eficient que redueix el nombre de vies accedides sense perjudicar les prestacions. La PS-Cache utilitza la informació de l'estat privat-compartit del bloc referenciat per a reduir energia, ja que només accedim al subconjunt de vies que mantenen blocs del tipus sol·licitat. Els resultats mostren uns importants estalvis d'energia dinàmica. Finalment, proposem un altre disseny d'arquitectura energèticament eficient que es pot aplicar a qualsevol tipus de memòria cache associativa per conjunts. La proposta, la Tag Filter (TF) Architecture, filtra les vies accedides en el conjunt de la cache, de manera que només un reduït nombre de vies es miren tant en el array d'etiquetes com en el de dades. Això permet que la nostra proposta redueixi el consum dinàmic energètic de les caches sense perjudicar el seu temps d'accés. Els resultats experimentals mostren que aquest mecanisme de filtre és capaç d'obtenir un consum energètic en caches associatives per conjunt similar al de les caches de mapejada directa. Els resultats experimentals mostren que les propostes presentades en aquesta tesis conseguixen un bon compromís entre aquestros tres importants pilars de diseny.
Valls Mompó, JJ. (2017). Improving Energy and Area Scalability of the Cache Hierarchy in CMPs [Tesis doctoral no publicada]. Universitat Politècnica de València. https://doi.org/10.4995/Thesis/10251/79551
TESIS
Xiang, Ping. "ANALYZING INSTRUCTTION BASED CACHE REPLACEMENT POLICIES." Master's thesis, University of Central Florida, 2010. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/2589.
Full textM.S.
School of Electrical Engineering and Computer Science
Engineering and Computer Science
Computer Engineering MSCpE
Ibrahim, Mohamed Assem Abd ElMohsen. "Rethinking Cache Hierarchy And Interconnect Design For Next-Generation Gpus." W&M ScholarWorks, 2020. https://scholarworks.wm.edu/etd/1627047836.
Full textIbrahim, Mohamed Assem Abd ElMohsen. "Rethinking Cache Hierarchy And Interconnect Design For Next-Generation Gpus." W&M ScholarWorks, 2021. https://scholarworks.wm.edu/etd/1627047836.
Full textDublish, Saumay Kumar. "Managing the memory hierarchy in GPUs." Thesis, University of Edinburgh, 2018. http://hdl.handle.net/1842/31205.
Full textSOHONI, SOHUM. "IMPROVING L2 CACHE PERFORMANCE THROUGH STREAM-DIRECTED OPTIMIZATIONS." University of Cincinnati / OhioLINK, 2004. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1092932892.
Full textDelgado, Nuno Miguel de Brito. "A system’s approach to cache hierarchy-aware decomposition of data-parallel computations." Master's thesis, Faculdade de Ciências e Tecnologia, 2014. http://hdl.handle.net/10362/13014.
Full textThe architecture of nowadays’ processors is very complex, comprising several computational cores and an intricate hierarchy of cache memories. The latter, in particular, differ considerably between the many processors currently available in the market, resulting in a wide variety of configurations. Application development is typically oblivious of this complexity and diversity, taking only into consideration the number of available execution cores. This oblivion prevents such applications from fully harnessing the computing power available in these architectures. This problem has been recognized by the community, which has proposed languages and models to express and tune applications according to the underlying machine’s hierarchy. These, however, lack the desired abstraction level, forcing the programmer to have deep knowledge of computer architecture and parallel programming, in order to ensure performance portability across a wide range of architectures. Realizing these limitations, the goal of this thesis is to delegate these hierarchy-aware optimizations to the runtime system. Accordingly, the programmer’s responsibilities are confined to the definition of procedures for decomposing an application’s domain, into an arbitrary number of partitions. With this, the programmer has only to reason about the application’s data representation and manipulation. We prototyped our proposal on top of a Java parallel programming framework, and evaluated it from a performance perspective, against cache neglectful domain decompositions. The results demonstrate that our optimizations deliver significant speedups against decomposition strategies based solely on the number of execution cores, without requiring the programmer to reason about the machine’s hardware. These facts allow us to conclude that it is possible to obtain performance gains by transferring hierarchyaware optimizations concerns to the runtime system.
Books on the topic "Cache hierarchy"
Przybylski, Steven A. Cache and memory hierarchy design: A performance-directed approach. San Mateo, Calif: Morgan Kaufmann Publishers, 1990.
Find full textPark, Won-Ho. Tagfilter :a power-aware tag hierarchy for high-level caches. Ottawa: National Library of Canada, 2003.
Find full textCache and Memory Hierarchy Design. Elsevier, 1990. http://dx.doi.org/10.1016/c2009-0-27582-9.
Full textBook chapters on the topic "Cache hierarchy"
Candel, Francisco, Salvador Petit, Alejandro Valero, and Julio Sahuquillo. "Improving GPU Cache Hierarchy Performance with a Fetch and Replacement Cache." In Euro-Par 2018: Parallel Processing, 235–48. Cham: Springer International Publishing, 2018. http://dx.doi.org/10.1007/978-3-319-96983-1_17.
Full textLiu, Rui-fang, Change-sheng Xie, Zhi-hu Tan, and Qing Yang. "A New Hierarchy Cache Scheme Using RAM and Pagefile." In Advances in Computer Systems Architecture, 515–26. Berlin, Heidelberg: Springer Berlin Heidelberg, 2004. http://dx.doi.org/10.1007/978-3-540-30102-8_43.
Full textWang, Weixun, Prabhat Mishra, and Sanjay Ranka. "Energy Optimization of Cache Hierarchy in Multicore Real-Time Systems." In Dynamic Reconfiguration in Real-Time Systems, 63–84. New York, NY: Springer New York, 2012. http://dx.doi.org/10.1007/978-1-4614-0278-7_4.
Full textMachanick, Philip, and Zunaid Patel. "L1 Cache and TLB Enhancements to the RAMpage Memory Hierarchy." In Advances in Computer Systems Architecture, 305–19. Berlin, Heidelberg: Springer Berlin Heidelberg, 2003. http://dx.doi.org/10.1007/978-3-540-39864-6_25.
Full textKrietemeyer, Michael, Daniel Versick, and Djamshid Tavangarian. "A Mathematical Model for the Transitional Region Between Cache Hierarchy Levels." In Innovative Internet Community Systems, 178–88. Berlin, Heidelberg: Springer Berlin Heidelberg, 2006. http://dx.doi.org/10.1007/11553762_18.
Full textMa, Zhe, Trevor Carlson, Wim Heirman, and Lieven Eeckhout. "Evaluating Application Vulnerability to Soft Errors in Multi-level Cache Hierarchy." In Euro-Par 2011: Parallel Processing Workshops, 272–81. Berlin, Heidelberg: Springer Berlin Heidelberg, 2012. http://dx.doi.org/10.1007/978-3-642-29740-3_31.
Full textLi, Hai, Zhenyu Sun, Xiuyuan Bi, Weng-Fai Wong, Xiaochun Zhu, and Wenqing Wu. "STT-RAM Cache Hierarchy Design and Exploration with Emerging Magnetic Devices." In Emerging Memory Technologies, 169–99. New York, NY: Springer New York, 2013. http://dx.doi.org/10.1007/978-1-4419-9551-3_7.
Full textSilva-Filho, A. G., F. R. Cordeiro, R. E. Sant’Anna, and M. E. Lima. "Heuristic for Two-Level Cache Hierarchy Exploration Considering Energy Consumption and Performance." In Lecture Notes in Computer Science, 75–83. Berlin, Heidelberg: Springer Berlin Heidelberg, 2006. http://dx.doi.org/10.1007/11847083_8.
Full textChen, Naikuo, Zhilou Yu, and Ruidong Zhao. "A Hybrid Memory Hierarchy to Improve Cache Reliability with Non-volatile STT-RAM." In Lecture Notes in Computer Science, 459–68. Cham: Springer International Publishing, 2017. http://dx.doi.org/10.1007/978-3-319-52015-5_47.
Full textNovac, O., St Vari-Kakas, Mihaela Novac, Ecaterina Vladu, and Liliana Indrie. "Dependability Aspects Regarding the Cache Level of a Memory Hierarchy using Hamming Codes." In Innovations in Computing Sciences and Software Engineering, 567–70. Dordrecht: Springer Netherlands, 2010. http://dx.doi.org/10.1007/978-90-481-9112-3_98.
Full textConference papers on the topic "Cache hierarchy"
Yavits, Leonid, Amir Morad, and Ran Ginosar. "3D cache hierarchy optimization." In 2013 IEEE International 3D Systems Integration Conference (3DIC). IEEE, 2013. http://dx.doi.org/10.1109/3dic.2013.6702346.
Full textVan Laer, Anouk, William Wang, and Chris Emmons. "Inefficiencies in the Cache Hierarchy." In MEMSYS '15: International Symposium on Memory Systems. New York, NY, USA: ACM, 2015. http://dx.doi.org/10.1145/2818950.2818980.
Full textSivaramakrishnan, Ram, and Sumti Jairath. "Next generation SPARC processor cache hierarchy." In 2014 IEEE Hot Chips 26 Symposium (HCS). IEEE, 2014. http://dx.doi.org/10.1109/hotchips.2014.7478828.
Full textKhairy, Mahmoud, Mohamed Zahran, and Amr G. Wassal. "Efficient utilization of GPGPU cache hierarchy." In GPGPU-8: General-purpose Processing with Graphics Processing Units 8. New York, NY, USA: ACM, 2015. http://dx.doi.org/10.1145/2716282.2716291.
Full textYan, Mengjia, Bhargava Gopireddy, Thomas Shull, and Josep Torrellas. "Secure Hierarchy-Aware Cache Replacement Policy (SHARP)." In ISCA '17: The 44th Annual International Symposium on Computer Architecture. New York, NY, USA: ACM, 2017. http://dx.doi.org/10.1145/3079856.3080222.
Full textKenyon, Samantha, Sonia Lopez Alarcon, and Julio Sahuquillo. "Impact of Partitioning Cache Schemes on the Cache Hierarchy of SMT Processors." In 2015 IEEE 17th International Conference on High-Performance Computing and Communications; 2015 IEEE 7th International Symposium on Cyberspace Safety and Security; and 2015 IEEE 12th International Conference on Embedded Software and Systems. IEEE, 2015. http://dx.doi.org/10.1109/hpcc-css-icess.2015.127.
Full textGordon-Ross, Ann, Jeremy Lau, and Brad Calder. "Phase-based cache reconfiguration for a highly-configurable two-level cache hierarchy." In the 18th ACM Great Lakes symposium. New York, New York, USA: ACM Press, 2008. http://dx.doi.org/10.1145/1366110.1366200.
Full textPark, Jongsoo, Richard M. Yoo, Daya S. Khudia, Christopher J. Hughes, and Daehyun Kim. "Location-aware cache management for many-core processors with deep cache hierarchy." In SC13: International Conference for High Performance Computing, Networking, Storage and Analysis. New York, NY, USA: ACM, 2013. http://dx.doi.org/10.1145/2503210.2503224.
Full textGupta, Vishal, Vinod Ganesan, and Biswabandan Panda. "Seclusive Cache Hierarchy for Mitigating Cross-Core Cache and Coherence Directory Attacks." In 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2021. http://dx.doi.org/10.23919/date51398.2021.9474168.
Full textSrikantaiah, Shekhar, Emre Kultursay, Tao Zhang, Mahmut Kandemir, Mary Jane Irwin, and Yuan Xie. "MorphCache: A Reconfigurable Adaptive Multi-level Cache hierarchy." In 2011 IEEE 17th International Symposium on High Performance Computer Architecture (HPCA). IEEE, 2011. http://dx.doi.org/10.1109/hpca.2011.5749732.
Full text