Academic literature on the topic 'Capacitors. Dielectrics. Hafnium oxide'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Capacitors. Dielectrics. Hafnium oxide.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Capacitors. Dielectrics. Hafnium oxide"

1

Nabatame, Toshihide, Erika Maeda, Mari Inoue, Kazuya Yuge, Masafumi Hirose, Koji Shiozaki, Naoki Ikeda, Tomoji Ohishi, and Akihiko Ohi. "Hafnium silicate gate dielectrics in GaN metal oxide semiconductor capacitors." Applied Physics Express 12, no. 1 (January 1, 2019): 011009. http://dx.doi.org/10.7567/1882-0786/aaf62a.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Karmaoui, Mohamed, E. Venkata Ramana, David M. Tobaldi, Luc Lajaunie, Manuel P. Graça, Raul Arenal, Maria P. Seabra, João A. Labrincha, and Robert C. Pullar. "High dielectric constant and capacitance in ultrasmall (2.5 nm) SrHfO3 perovskite nanoparticles produced in a low temperature non-aqueous sol–gel route." RSC Advances 6, no. 57 (2016): 51493–502. http://dx.doi.org/10.1039/c6ra06990h.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Kim, Hyojung, Jongwoo Park, Junehwan Kim, Nara Lee, Gaeun Lee, Soonkon Kim, Pyungho Choi, Dohyun Beak, Jangkun Song, and Byoungdeog Choi. "Leakage Current Analysis Method for Metal Insulator Semiconductor Capacitors Through Low-Frequency Noise Measurement." Journal of Nanoscience and Nanotechnology 21, no. 3 (March 1, 2021): 1966–70. http://dx.doi.org/10.1166/jnn.2021.18901.

Full text
Abstract:
Use of thinner oxides to improve the operating speed of a complementary metal-oxidesemiconductor (CMOS) device causes serious gate leakage problems. Leakage current of the dielectric analysis method has I–V, C–V, and charge pumping, but the procedure is very complicated. In this premier work, we analyzed the leakage current of metal insulator semiconductor (MIS) capacitors with different initiators through low-frequency noise (LFN) measurement with simplicity and high sensitivity. The LFN measurement results show a correlation between power spectral density (SIG) and gate leakage current (IG). MIS capacitors of hafnium zirconium silicate (HZS, (HfZrO4)1-x (SiO2)x) were used for the experiments with varying SiO2 ratio (x = 0, 0.1, 0.2) of hafnium zirconium oxide (HZO, HfZrO4). As the SiO2 ratio increased, the leakage current decreased according to J–V measurement. Further, the C–V measurement confirmed that the oxide-trapped charge (Not) increased with increasing SiO2 ratio. Finally, the LFN measurement method revealed that the cause of leakage current reduction was trap density reduction of the insulator.
APA, Harvard, Vancouver, ISO, and other styles
4

Kim, Tea Wan, Tae-Young Jang, Donghyup Kim, Jung Woo Kim, Jae Kyeong Jeong, Rino Choi, Myung Soo Lee, and Hyoungsub Kim. "Effect of La incorporation on reliability characteristics of metal–oxide-semiconductor capacitors with hafnium based high-k dielectrics." Microelectronic Engineering 89 (January 2012): 31–33. http://dx.doi.org/10.1016/j.mee.2011.01.036.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Choi, Kyu-Jeong, Woong-Chul Shin, and Soon-Gil Yoon. "Ultrathin HfO2 gate dielectric grown by plasma-enhanced chemical vapor deposition using Hf[OC(CH3)3]4 as a precursor in the absence of O2." Journal of Materials Research 18, no. 1 (January 2003): 60–65. http://dx.doi.org/10.1557/jmr.2003.0009.

Full text
Abstract:
Hafnium oxide thin films for use in a gate dielectric were deposited at 300 °C on p-type Si(100) substrates using a Hf[OC(CH3)3]4 precursor in the absence of oxygen by plasma-enhanced chemical vapor deposition. A comparison of films deposited in the absence and presence of oxygen indicated that oxygen was an important determinant in the electrical properties of HfO2 films, which were subsequently annealed in N2 and O2 ambients. The capacitance equivalent oxide thickness of the as-deposited Pt/HfO2/Si capacitor was approximately 17 Å and abruptly increased at an annealing temperature of 800 °C in both N2 and O2 ambients. The hysteresis of the as-deposited gate dielectric was quite small, about 40 mV, and that of the gate dielectric annealed at 800 °C in an O2 ambient was reduced to a negligible level, about 20 mV. The interface trap density of the Pt/HfO2/Si capacitors was approximately 1012 eV−1 cm−2 near the silicon midgap. The leakage current densities of the as-deposited Pt/HfO2/Si capacitor and those annealed at 800 °C in N2 and O2 were approximately 8 × 10−4, 8 × 10−5, and 3 × 10−7 A/cm2 at –1 V, respectively.
APA, Harvard, Vancouver, ISO, and other styles
6

Chiou, Yan-Kai, Che-Hao Chang, and Tai-Bor Wu. "Characteristics of hafnium oxide grown on silicon by atomic-layer deposition using tetrakis(ethylmethylamino)hafnium and water vapor as precursors." Journal of Materials Research 22, no. 7 (July 2007): 1899–906. http://dx.doi.org/10.1557/jmr.2007.0242.

Full text
Abstract:
The growth of HfO2 thin films on a HF-dipped p-Si(100) substrate at 200 °C by atomic-layer deposition (ALD) using Hf[N(C2H5)(CH3)]4 and H2O vapor as precursors is demonstrated. Uniform HfO2 thin films are obtained on a 4-in. silicon wafer, and the energy-band gap and band offset are determined by x-ray photoelectron spectroscopy analysis. The as-deposited HfO2 thin film is amorphous and able to crystallize at 500 ∼ 600 °C with only the monoclinic phase. As for the electrical performance of Au–Ti–HfO2–Si metal oxide semiconductor capacitors, a dielectric constant of ∼17.8 and an equivalent oxide thickness value of ∼1.39 nm are obtained from the 40-cycle ALD film after annealing at 500 °C. In addition, the breakdown field is in the range of 5 ∼ 5.5 MV/cm, and the fixed charge density is on the order of 1012 cm−2, depending on the annealing temperatures. The interface quality of HfO2 thin films on silicon is satisfactory with an interface-trap charge density of ∼3.7 × 1011 cm−2 eV−1.
APA, Harvard, Vancouver, ISO, and other styles
7

Abdulazhanov, Sukhrob, Quang Huy Le, Dang Khoa Huynh, Defu Wang, Maximilian Lederer, Ricardo Olivo, Konstantin Mertens, Jennifer Emara, Thomas Kämpfe, and Gerald Gerlach. "RF-Characterization of HZO Thin Film Varactors." Crystals 11, no. 8 (August 18, 2021): 980. http://dx.doi.org/10.3390/cryst11080980.

Full text
Abstract:
A microwave characterization at UHF band of a ferroelectric hafnium zirconium oxide metal-ferroelectric-metal (MFM) capacitors for varactor applications has been performed. By using an impedance reflectivity method, a complex dielectric permittivity was obtained at frequencies up to 500 MHz. Ferroelectric Hf0.5Zr0.5O2 of 10 nm thickness has demonstrated a stable permittivity switching in the whole frequency range. A constant increase of the calculated dielectric loss is observed, which is shown to be an effect of electric field distribution on highly resistive titanium nitride (TiN) thin film electrodes. The C-V characteristics of a “butterfly” shape was also extracted, where the varactors exhibited a reduction of capacitance tunability from 18.6% at 10 MHz to 15.4% at 500 MHz.
APA, Harvard, Vancouver, ISO, and other styles
8

DONG, MING, HAO WANG, LIANGPING SHEN, CONG YE, and QINXIANG WEI. "EFFECT OF GATE ELECTRODES ON STRUCTURE AND ELECTRICAL PROPERTIES OF SPUTTERED HfO2 THIN FILMS." Modern Physics Letters B 26, no. 25 (September 7, 2012): 1250161. http://dx.doi.org/10.1142/s0217984912501618.

Full text
Abstract:
High dielectric hafnium oxide films were grown by magnetron sputtering and post heat treatment in nitrogen atmosphere at 500°C for 30 min using vacuum annealing furnace. The film keeps amorphous at 500°C and has better interface quality as revealed by X-ray diffraction and transmission electron microscopy. The influence of TiN and Pt electrodes on the electrical property of the film was compared. For the annealed films, TiN electrode was presented as the optimal one. For the conduction mechanism of Pt / HfO 2/p- Si MOS capacitors under gate electron injection, the dominant conduction mechanism at low electric field is Schottky emission. At high electric field, the conversion of current transport mechanism from Schottky emission to trap-assisted tunneling for the annealed HfO 2 film occurs at 0.64 MV/cm.
APA, Harvard, Vancouver, ISO, and other styles
9

Beal, Aubrey N., John Tatarchuk, Colin Stevens, Thomas Baginski, Michael Hamilton, and Robert N. Dean. "Design Considerations and Ring-down Characteristics of Micromachined, High Current Density Capacitors." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2014, DPC (January 1, 2014): 001380–406. http://dx.doi.org/10.4071/2014dpc-wa32.

Full text
Abstract:
The need for integrated passive components which meet the stringent power system requirements imposed by increased data rates, signal path density and challenging power distribution network topologies in integrated systems yield diverse motivations for high density, miniaturized capacitors capable of quickly sourcing large quantities of current. These diverse motivations have led to the realization of high density capacitor structures through the means of several technologies. These structures have been evaluated as high-speed, energy storage devices and their respective fabrication technologies have been closely compared for matching integrated circuit speed and density increase, chip current requirements, low resistance, low leakage current, high capacitance and compatibility with relatively high frequencies of operation (~1GHz). These technologies include devices that utilize pn junctions, Schottky barriers, optimized surface area techniques and the utilization of high dielectric constant (high-K) materials, such as hafnium oxide, as a dielectric layer through the means of atomic layer deposition (ALD). The resulting devices were micro-machined, large surface area, thin, high-density capacitor technologies optimized as embedded passive devices for thin silicon interposers. This work outlines the design, fabrication, simulation and testing of each device revision using standard silicon microfabrication processes and silicon interposer technologies. Consequently, capacitive storage devices were micro-machined with geometries which maximize surface area and exhibit the capability of sourcing 100A of current with a response time greater than 100 A/nsec through the use of thin layered, ALD high-K materials. The simulation and testing of these devices show general agreement when subjected to a standard ring-down procedure. This paper provides descriptions and design challenges encountered during fabrication, testing and integration of these passive devices. In addition, potential device integration and implementation strategies for use in silicon interposers are also provided. The modification and revision of several device generations is documented showing increased device capacitance density, maximized current capabilities and minimized effects of series inductance and resistance. The resulting structures are thin, capacitive devices that may be micro-machined using industry standard Si MEMS processes and are compatible with Si interposer 3D technologies. The subsequent design processes allow integrated passive components to be attached beneath chips in order to maximize system area and minimize the chip real estate required for capacitive energy storage devices.
APA, Harvard, Vancouver, ISO, and other styles
10

Chen, Jun, Takashi Sekiguchi, Naoki Fukata, Masami Takase, Toyohiro Chikyo, Kikuo Yamabe, Ryu Hasunuma, Motoyuki Sato, Yasuo Nara, and Keisaku Yamada. "Comparison of leakage behaviors in p- and n-type metal-oxide-semiconductor capacitors with hafnium silicon oxynitride gate dielectric by electron-beam-induced current." Applied Physics Letters 92, no. 26 (June 30, 2008): 262103. http://dx.doi.org/10.1063/1.2952829.

Full text
APA, Harvard, Vancouver, ISO, and other styles
More sources

Dissertations / Theses on the topic "Capacitors. Dielectrics. Hafnium oxide"

1

Yang, Fan. "Characterization of HFO2 Capacitors." Fogler Library, University of Maine, 2003. http://www.library.umaine.edu/theses/pdf/YangF2003.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

DeCerbo, Jennifer N. "Development and Characterization of Layered, Nitrogen-Doped Hafnium Oxide and Aluminum Oxide Films for Use as Wide Temperature Capacitor Dielectrics." University of Dayton / OhioLINK, 2015. http://rave.ohiolink.edu/etdc/view?acc_num=dayton1429979783.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

King, Peter. "Hafnium oxide-based dielectrics by atomic layer deposition." Thesis, University of Liverpool, 2013. http://livrepository.liverpool.ac.uk/9253/.

Full text
Abstract:
In 2007 there was an important change in the architecture of nanotransistors - the building blocks of modern logic and memory devices. This change was from utilising thermally grown silicon dioxide as a dielectric to so-called high-κ hafnium oxide dielectrics grown by atomic layer deposition. The first production logic devices of this era used a hafnium oxide dielectric layer deposited by thermal atomic layer deposition; using HfCl₄ and H₂O as the precursors. Present day fabrication makes use of hafnium oxide-based atomic-layer-deposited dielectric films. The latest nanotransistor devices utilise a third generation hafnium oxide-based dielectric material. This thesis examines hafnium oxide-based thin film dielectric materials prepared by thermal atomic layer deposition on silicon substrates. Specifically the enhancement of the dielectric response of hafnium oxide by the addition of other elements is examined. Two ternary materials systems were deposited by thermal atomic layer deposition and analysed: titanium-hafnium oxide and cerium-hafnium oxide. Hafnium oxide films were deposited to be used as measurement benchmarks. Cerium oxide films were also deposited and analysed in their own right as potential dielectric layers. The hafnium oxide and both ternary deposition experiments used (MeCp)₂Hf(OMe)(Me) as the hafnium precursor. The titanium-hafnium oxide growth used Ti(iOPr)₄ as a titanium source and the cerium oxide and cerium-hafnium oxide work utilised Ce(mmp)₄ as a cerium source. Post-deposition specimen sets consisted of an as-deposited sample, a sample spike-annealed in N₂ at 850°C and a sample annealed for 30 minutes at 500°C. These annealing regimes were performed to mimic typical gate-first and gate-last transistor processing steps. The compositions and thicknesses of the films were measured using medium energy ion scattering. The structure of the films was analysed by X-ray diffraction and Raman spectroscopy. Capacitance-voltage and current density-field measurements were taken from fabricated MOS capacitor specimens to assess the dielectric response of the films. X-ray diffraction and Raman measurements showed that un-doped HfO₂ had monoclinic crystallinity as-deposited and after the two annealing regimes. The dielectric constant and leakage current density, 17 and 1.7x10⁻⁷ A/cm² at -1 MV/cm respectively, are consistent with values reported in the literature for HfO₂ films. The addition of titanium suppressed the crystallinity of the material resulting in amorphous films in compositions with Ti₀.₃Hf₀.₇O₂ titanium and above. The optimum electrical results were recorded for the titanium-hafnium oxide material in the composition Ti₀.₅Hf₀.₅O₂ which had a dielectric constant of 35 as-deposited and a leakage current density of 1.0x10⁻⁷ A/cm² at -1 MV/cm. This composition of film demonstrated similar values after the 500°C/30 min anneal but both dielectric constant and leakage current density suffered after the 850°C/spike anneal; 22 and 1.8x10⁻⁶ A/cm² at -1 MV/cm respectively. Films with compositions of Ti₀.₁Hf₀.₉O₂ demonstrated much lower dielectric constant and higher leakage current density, especially after heat treatment. The addition of cerium in a Ce₀.₁₁Hf⁰.₈₉O₂ composition was found to suppress crystallinity as-deposited and then provoke a lattice-substitutional phase change to the metastable tetragonal/cubic phase after both types of heat treatment. This ceriumactivated phase change resulted in a molar volume modulation compared to un-doped HfO₂. An increased dielectric constant compared to un-doped HfO₂ of 31 was recorded for the 500 °C/30 min anneal with the 850°C/spike anneal resulting in a lower value of 21. Leakage current density was 1.3x10⁻⁷ A/cm² and 3.2x10⁻⁷ A/cm² at -1 MV/cm respectively for the same anneals. Deposition with Ce(mmp)₄ and water was found to result in cubic crystalline films across a growth temperature range 150-350 °C. The frequency dependency of the dielectric properties was found to be influenced by the crystallite size which was governed by the deposition temperature. The highest dielectric constant, 42, was measured for the 150 °C growth temperature with C-V measurements performed at 1 MHz. The two doped HfO₂-based materials systems studied have demonstrated potential as dielectric materials for use in future nanoelectronic devices.
APA, Harvard, Vancouver, ISO, and other styles
4

Marshall, Paul Andrew. "Liquid injection MOCVD of hafnium oxide, silicate and aluminate high-k dielectrics." Thesis, University of Liverpool, 2005. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.422113.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Gao, Yong. "Deposition, stabilization and characterization of zirconium oxide and hafnium oxide thin films for high k gate dielectrics." Diss., The University of Arizona, 2004. http://hdl.handle.net/10150/290136.

Full text
Abstract:
As the MOS devices continue to scale down in feature size, the gate oxide thickness is approaching the nanometer node. High leakage current densities caused by tunneling is becoming a serious problem. Replacing silicon oxide with a high kappa material as the gate dielectrics is becoming very critical. In recent years, research has been focused on a few promising candidates, such as ZrO₂, HfO₂, Al₂O₃, Ta₂O₅, and some silicates. However, unary metal oxides tend to crystallize at relatively low temperatures (less than 700°C). Crystallized films usually have a very small grain size and high leakage current due to the grain boundaries. The alternatives are high κ oxides which are single crystal or amorphous. Silicates remain amorphous at high temperatures, but have some problems such as phase separation, interface reaction, and lower κ value. In this work, we addressed the crystallization problems of zirconium oxide and hafnium oxide thin films. Both of these two thin films were deposited by DC reactive magnetron sputtering so that very dense films were deposited with little damage. A specially designed system was set up in order to have good control of the deposition process. The crystallization behavior of as-deposited amorphous ZrO₂ and HfO₂ films was studied. It was found that the films tended to have higher crystallization temperature when the films were thinner than a critical thickness of approximately 5 nm. However, it was still well below 900°C. The crystallization temperature was significantly increased by sandwiching the high kappa oxide layer between two silica layers. Ultra thin HfO₂ films of 5nm thickness remained amorphous up to 900°C. This is the highest crystallization temperature which has been reported. The mechanisms for this effect are proposed. Electrical properties of these high kappa dielectric films were also studied. It was found that ultra thin amorphous HfO₂ and ZrO₂ films had superior electrical properties to crystalline films. The leakage current density of ultra thin amorphous films was at least two orders of magnitude lower than that of crystallized films. Amorphous films also showed much less hysteresis in the capacitance-voltage curve than uncapped crystallized films. The mechanisms for the electrical property differences between ultra thin crystalline and amorphous films were studied. Due to successful control of the low dielectric interfacial layer thickness, an effective oxide thickness of 1.2 and 1.4 nm was obtained for HfO₂ and ZrO₂ films, respectively.
APA, Harvard, Vancouver, ISO, and other styles
6

Essary, Chad Robert. "Ultraviolet-assisted oxidation and nitridation of hafnium and hafnium aluminum alloys as potential gate dielectrics for metal oxide semiconductor applications." [Gainesville, Fla.] : University of Florida, 2004. http://purl.fcla.edu/fcla/etd/UFE0006612.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Deng, Linfeng, and 邓林峰. "A study on pentacene organic thin-film transistors with Hf-based oxideas gate dielectric." Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2011. http://hub.hku.hk/bib/B47244513.

Full text
Abstract:
Compared with its inorganic counterpart, organic thin-film transistor (OTFT) has advantages such as low-temperature fabrication, adaptability to large-area flexible substrate, and low cost. However, they usually need high operating voltage and thus are not suitable for portable applications. Although reducing their gate–dielectric thickness can lower the operating voltage, it increases their gate leakage. A better way is making use of high-κ gate dielectric, which is the main theme of this research. Firstly, pentacene OTFTs with HfO2 gate dielectric nitrided in N2O or NH3 at 200 oC were studied. The NH3-annealed OTFT displayed higher carrier mobility, larger on/off current ratio, smaller sub-threshold swing and smaller Hooge?s parameter than the N2O-annealed device. All these advantages were attributed to more nitrogen incorporation at the dielectric surface by the NH3 annealing which provided stronger passivation of surface traps. The incorporation of lanthanum to hafnium oxide was demonstrated to realize enhanced interface in the pentacene OTFTs. Therefore, pentacene OTFTs with HfLaO gate dielectric annealed in N2, NH3, O2 or NO at 400 oC were investigated. Among the 4 devices, the NH3-annealed OTFT obtained the highest carrier mobility, smallest sub-threshold swing and smallest 1/f noise. All these should be attributed to the improved interface between the gate dielectric and the organic semiconductor associated with the passivation effects of the NH3 annealing on the dielectric surface. The processing temperature of OTFTs is a big concern because use of flexible or glass substrate is the trend in organic electronics. Therefore, the HfLaO gate dielectric was annealed in N2, NH3, or O2 at two different temperatures, 200 oC and 400 oC. For all the annealing gases, the OTFTs annealed at 400 oC achieved higher carrier mobility, which could be supported by SEM image that pentacene tended to form larger grains (thus less carrier scattering) on HfLaO annealed at 400 oC. Furthermore, the HfLaO film annealed at 400 oC achieved much smaller leakage because more thermal energy at higher annealing temperature could remove oxide defects more effectively. Fluorination of the HfLaO film (annealed in N2 or NH3 at 400 oC) in a plasma based on CHF3 and O2 was also proposed. For both annealing gases, the OTFT with a 100-s plasma treatment achieved higher carrier mobility and smaller 1/f noise than that without plasma treatment. All these improvements should be due to fluorine incorporation at the dielectric surface which passivated the traps there. By contrast, for longer time (300 s or 900 s) of plasma treatment, the performance of the OTFTs deteriorated due to damage of dielectric surface induced by excessive plasma treatment. Lastly, a comparative study was done on pentacene OTFTs with HfLaO or La2O3 as gate dielectric. For the same annealing gas (H2, N2, NH3, or O2 at 400 oC), the OTFT with La2O3 gate dielectric obtained lower carrier mobility, smaller on/off current ratio, and larger threshold voltage than that based on HfLaO. The worse performance of the OTFTs with La2O3 gate dielectric was due to the degradation of La2O3 film caused by moisture absorption.
published_or_final_version
Electrical and Electronic Engineering
Doctoral
Doctor of Philosophy
APA, Harvard, Vancouver, ISO, and other styles
8

Ukirde, Vaishali. "Trapping of hydrogen in Hf-based high κ dielectric thin films for advanced CMOS applications." Thesis, University of North Texas, 2007. https://digital.library.unt.edu/ark:/67531/metadc5114/.

Full text
Abstract:
In recent years, advanced high κ gate dielectrics are under serious consideration to replace SiO2 and SiON in semiconductor industry. Hafnium-based dielectrics such as hafnium oxides, oxynitrides and Hf-based silicates/nitrided silicates are emerging as some of the most promising alternatives to SiO2/SiON gate dielectrics in complementary metal oxide semiconductor (CMOS) devices. Extensive efforts have been taken to understand the effects of hydrogen impurities in semiconductors and its behavior such as incorporation, diffusion, trapping and release with the aim of controlling and using it to optimize the performance of electronic device structures. In this dissertation, a systematic study of hydrogen trapping and the role of carbon impurities in various alternate gate dielectric candidates, HfO2/Si, HfxSi1-xO2/Si, HfON/Si and HfON(C)/Si is presented. It has been shown that processing of high κ dielectrics may lead to some crystallization issues. Rutherford backscattering spectroscopy (RBS) for measuring oxygen deficiencies, elastic recoil detection analysis (ERDA) for quantifying hydrogen and nuclear reaction analysis (NRA) for quantifying carbon, X-ray diffraction (XRD) for measuring degree of crystallinity and X-ray photoelectron spectroscopy (XPS) were used to characterize these thin dielectric materials. ERDA data are used to characterize the evolution of hydrogen during annealing in hydrogen ambient in combination with preprocessing in oxygen and nitrogen.
APA, Harvard, Vancouver, ISO, and other styles
9

Albertin, Kátia Franklin. "Estudo de camadas dielétricas para aplicação em capacitores MOS." Universidade de São Paulo, 2007. http://www.teses.usp.br/teses/disponiveis/3/3140/tde-08012008-144158/.

Full text
Abstract:
Foram estudados filmes de oxinitreto de silício obtidos por PECVD à 320°C, a partir da mistura gasosa de N2O+SiH4+He, com diferentes valores de pressão e potência de deposição com o objetivo de produzir boa qualidade de interface deste material com o Si e de obter uma baixa densidade de carga efetiva visando a aplicação desses filmes em dispositivos semicondutores MOS. Os resultados mostraram que com uma pressão de deposição de 0,160 mbar e potências menores que 125 W/cm2 é possível obter um valor de densidade de estados de interface (Dit) de 4x1010 eV-1.cm-2, campo elétrico de ruptura (Ebd) de 13 MV/cm, valores comparáveis ao SiO2 térmico e uma densidade de carga efetiva (Nss) de 4x1011 cm-2. Segundo resultados experimentais esse valor de Nss é o mínimo possível que se pode atingir com a limpeza química utilizada em nosso laboratório. Pode-se dizer que estes são resultados bastante interessantes considerando que se trata de um material obtido por PECVD à baixa temperatura, porém viável para aplicação em dispositivos MOS. Iniciando os estudos com dielétricos de maiores valores de constante dielétrica optamos por estudar filmes de TiOx (k=40-100), obtidos por sputtering reativo, a partir da mistura gasosa de Ar+O2 e utilizando alvo de Ti. Foram fabricados capacitores MOS com estes filmes e obteve-se valores de constante dielétrica que variaram de 40-160. Porém esses materiais ainda apresentavam valores apreciáveis de corrente de fuga que foram minimizadas em ordens de grandeza quando utilizados dielétricos de dupla camada com SiO2 ou SiOxNy (otimizado neste trabalho) na interface, além de se observar uma melhora significativa da qualidade de interface. Utilizando dupla camada dielétrica com filmes de SiOxNy e SiO2, ainda espessos (³ 1nm) para camada intermediária, obteve-se uma constante dielétrica efetiva em torno de 20. Vale ressaltar que os dois filmes SiOxNy e TiOx, conseqüentemente a dupla camada, foram fabricados a baixas temperaturas.
Silicon oxynitride films obtained by the PECVD technique from N2O+SiH4+He gaseous mixtures, at 320°C, with different deposition pressure and RF power were studied intending to improve the interface quality with Si, decreasing the effective charge density and the interface state density in order to utilize them in MOS semiconductor devices. The results showed that with a deposition pressure of 0.160 mbar and a RF power density lower than 125 W/cm2 it is possible to obtain interface state density (Dit) values of 4x1010 eV-1.cm-2, Electrical Breakdown (Ebd) of 13 MV/cm, comparable with the obtained for thermally grown SiO2 , and an effective charge density (Nss) of 4x1011 cm-2. According with experimental results this Nss value is the minimum attainable with our chemical cleaning process. In this way it can be said that these results are very promising, considering that these materials were obtained by PECVD at low temperatures, but still viable for MOS devices application. In order to initiate studies with high dielectrics constant material, TiOx films (k= 40-180), obtained by reactive sputtering through the Ar+O2 gaseous mixture utilizing a Ti target, were chosen. MOS capacitors with these films were fabricated and dielectric constant values varying from 40 to 160 were obtained. However, until now, these materials have presented appreciable leakage current values, which were, minimize by orders of magnitude with the addition of a thin SiO2 or SiOxNy (optimized in this work) layer at the interface were utilized. This thin layer also resulted in a significant improvement of the interface quality. Utilizing double dielectric layer with SiOxNy or SiO2, still thick (³ 1nm) as intermediate layer a dielectric constant value of 20 was obtained. Its important to mention that the SiOxNy and TiOx films, and consequently the double layer, were deposited at low temperatures.
APA, Harvard, Vancouver, ISO, and other styles
10

Lin, Yu-Shih, and 林育詩. "Scalable Hafnium-oxide-based dielectrics for the applications of Si, InAs and InGaAs MOS Capacitors." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/76389576867040425599.

Full text
Abstract:
碩士
國立交通大學
光電工程研究所
105
In this thesis, the different high-k dielectrics deposited on different substrates such as Si, InAs, and InGaAs. In order to realize high performance metal-oxide-semiconductor field-effect transistors ( MOSFETs ) devices, it is essential to have a suitable high-k gate dielectric with low interface trap density ( Dit ) , a low equivalent oxide thickness ( EOT ) and low leakage current. In the past, HfO2 which has a higher dielectric constant has been regarded as a replacement of SiO2 on gate dielectrics. Although HfO2 provides the thicker physical thickness as the same capacitance than SiO2, it has poor thermal stability and larger leakage current than Al2O3 which has a low dielectric constant. In this work, among the different high-k dielectrics deposited on Si substrates, we have found that the Al incorporated HfO2 film can be effectively improved it thermal stability and reduced the calculated equivalent thickness ( CET ) with low leakage current simultaneously. Compared with the HfO2/Al2O3 stacks, the HfAlO film with the Al concentration of 2.57 % demonstrated the CET about 2.08 nm and leakage current of 7.19×〖10〗^(-9) A/cm2 on Si. Moreover, III-V materials have been intensively studies for next generation logic devices beyond 10 nm nodes owing to their high electron mobility. In order to realize III-V materials as a feasible alternative channel for MOSFET in post-Si era, numerous of III-V materials, such as InGaAs, and InAs, have been studied to investigate its carrier transport and interface properties. To incorporate III-V materials as a channel in a MOSFET, high-quality dielectric/III-V gate stacks with low Dit, scalable EOT with low gate leakage current are mandatory. We investigated the characteristics of InAs-based and InGaAs-based MOSCAPs. Also, the same fabrications of high-k dielectrics on Si were transferred on InAs and InGaAs substrates to realize low CET III-V devices. The different chemical cleaning ways and pre-dosing of metallic precursors pretreatment for the III-V interface improvement were studied; besides, the impacts of interface properties on InAs and InGaAs with different high-k dielectrics deposition for the EOT reduction with low leakage current have been demonstrated. Substrates with HCl and HF solutions chemical cleaning and TMA in situ self-cleaning presented the better interface properties. Finally, with inserting Al2O3 inter-layer, the Hf-based film of HfAlO provided the good interface quality with a lower CET of 2.3 nm on InAs and CET of 2.7 nm on InGaAs. As the results, the Hf-based film of HfAlO with the Al concentration of 2.57 % demonstrated the better thermal stability, and it is important to form a stable interface within the best thermal budget of transistors fabrication process. It also provides the low EOT with low leakage current. The HfAlO film can be regarded as a promising gate dielectric of MOSCAPs for EOT scaling.
APA, Harvard, Vancouver, ISO, and other styles
More sources

Book chapters on the topic "Capacitors. Dielectrics. Hafnium oxide"

1

Kouvatsos, Dimitrios N., Ralph J. Jaccodine, and Fred A. Stevie. "Interface Trap Density Reduction and Oxide Profiling for Mos Capacitors with Fluorinated Gate Oxide Dielectrics." In The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 2, 345–52. Boston, MA: Springer US, 1993. http://dx.doi.org/10.1007/978-1-4899-1588-7_37.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Fengler, Franz, Min Hyuk Park, Tony Schenk, Milan Pešić, and Uwe Schroeder. "Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors." In Ferroelectricity in Doped Hafnium Oxide: Materials, Properties and Devices, 381–98. Elsevier, 2019. http://dx.doi.org/10.1016/b978-0-08-102430-0.00017-6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Tiwari, Sandip. "High permittivity dielectrics." In Semiconductor Physics, 618–31. Oxford University Press, 2020. http://dx.doi.org/10.1093/oso/9780198759867.003.0018.

Full text
Abstract:
This chapter discusses high permittivity dielectrics such as hafnium oxide and zirconium oxide and how the phonons of such materials, their band and polarization behavior and silicon interact. High permittivity materials are used as dielectrics with semiconductors. A prominent use for these materials is in suppressing tunneling while enhancing the field effect. High permittivity arises strongly from ionic polarization. So, the low frequency response for high permittivity materials is very different from that of silicon dioxide. Transition metal oxides’ high permittivity comes together with soft phonons. With phonons soft, that is of low phonon energy, the interactions and transport behavior of semiconductors can change significantly through a modified Fröhlich interaction, because of the presence of high permittivity materials nearby. These various issues are analyzed.
APA, Harvard, Vancouver, ISO, and other styles
4

Pešić, Milan, and Luca Larcher. "Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors." In Ferroelectricity in Doped Hafnium Oxide: Materials, Properties and Devices, 399–411. Elsevier, 2019. http://dx.doi.org/10.1016/b978-0-08-102430-0.00018-8.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Capacitors. Dielectrics. Hafnium oxide"

1

Ding, Man. "Radiation Effect of Hafnium Oxide based Metal-Insulator-Metal Capacitors under Gamma-rays." In 2018 IEEE 2nd International Conference on Dielectrics (ICD). IEEE, 2018. http://dx.doi.org/10.1109/icd.2018.8468397.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ding, Man. "Radiation Effect of Hafnium Oxide based Metal-Insulator-Metal Capacitors under Gamma-rays." In 2018 IEEE 2nd International Conference on Dielectrics (ICD). IEEE, 2018. http://dx.doi.org/10.1109/icd.2018.8514580.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Ebrish, Mona A., David A. Deen, and Steven J. Koester. "Border trap characterization in metal-oxide-graphene capacitors with HfO2 dielectrics." In 2013 71st Annual Device Research Conference (DRC). IEEE, 2013. http://dx.doi.org/10.1109/drc.2013.6633783.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

"Low-voltage organic thin film transistors with solution processed hafnium oxide and polymer dielectrics." In Eighth International Symposium on Advanced Optical Manufacturing and Testing Technology (AOMATT2016). SPIE, 2016. http://dx.doi.org/10.1117/12.2242697.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Xin Liu, Yuqiu Lei, and Yonghong Cheng. "Total-dose radiation response and and post-irradiation annealing response of Hafnium capacitors." In 2016 Joint IEEE International Symposium on the Applications of Ferroelectrics, European Conference on Application of Polar Dielectrics, and Piezoelectric Force Microscopy Workshop (ISAF/ECAPD/PFM). IEEE, 2016. http://dx.doi.org/10.1109/isaf.2016.7578103.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Huang, T. H., Z. Pei, W. K. Lin, S. T. Chang, and K. C. Liu. "Interface Trap Reduction based on Poly(styrene-co-methyl methacrylate)/Hafnium Oxide Bilayer Dielectrics for Low Voltage OTFT." In 2009 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2009. http://dx.doi.org/10.7567/ssdm.2009.f-7-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Chin-Lung Cheng, Jeng-Haur Horng, Kuei-Shu Chang-Liao, Jin-Tsong Jeng, and Hung-Yung Tsai. "Charge trapping and current conduction mechanisms of advanced metal-oxide-semiconductor capacitors with LaxTay dual-doped HfON dielectrics." In 2009 International Semiconductor Device Research Symposium (ISDRS 2009). IEEE, 2009. http://dx.doi.org/10.1109/isdrs.2009.5378236.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Liu, C. H., Y. L. Chen, C. P. Cheng, H. W. Chen, H. W. Hsu, S. Y. Chen, H. S. Huang, and M. C. Wang. "Time dependent dielectric breakdown (TDDB) characteristics of metal-oxide-semiconductor capacitors with HfLaO and HfZrLaO ultra-thin gate dielectrics." In 2011 IEEE 4th International Nanoelectronics Conference (INEC). IEEE, 2011. http://dx.doi.org/10.1109/inec.2011.5991693.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Chang, Chia-Hua, and Jenn-Gwo Hwu. "Low Temperature Ultra-thin Hafnium Oxide Dielectrics by Sputtering of Hf Metal on Tilted Substrate Followed by Nitric Acid Oxidation then Anodization Compensation in D. I. Water." In 2007 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2007. http://dx.doi.org/10.7567/ssdm.2007.f-5-4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Lin, T. W., J. N. Yao, Y. C. Lin, K. C. Yang, W. H. Wu, K. Kakushima, J. S. Maa, E. Y. Chang, and H. Iwai. "Low Interface Trap Density in In0.53Ga0.47as Metal-Oxide-Semiconductor Capacitors with Molecular Beam Deposited HfO2/ La2O3 High-κ Dielectrics." In 2015 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2015. http://dx.doi.org/10.7567/ssdm.2015.ps-1-1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography