To see the other types of publications on this topic, follow the link: Chemical mechanical planarization (CMP).

Dissertations / Theses on the topic 'Chemical mechanical planarization (CMP)'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Chemical mechanical planarization (CMP).'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Gopal, Tanuja Danie. "Colloidal aspects of chemical mechanical planarization (CMP) /." Diss., Connect to a 24 p. preview or request complete full text in PDF format. Access restricted to UC campuses, 2004. http://wwwlib.umi.com/cr/ucsd/fullcit?p3138831.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Lowalekar, Viral Pradeep. "Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper." Diss., The University of Arizona, 2006. http://hdl.handle.net/10150/193886.

Full text
Abstract:
In an ECMP process, a wafer is anodically baised during polishing. The electrical potential is the driving force to oxidize copper metal to ions. Copper ions then react with chemistry in the electrolyte to go in solution or form a passivation layer on the surface. The passivation layer is removed by a very low downforce (0.5-1 psi), causing copper to electrochemically dissolve in solution. Passive film formation during copper ECMP is key to the success of this process, since passivation reduces dissolution in the recessed areas, while elevations on the copper surface in direct contact with the ECMP pad are electrochemically planarized. If no passive film forms, then copper removal will be conformal from the elevated and recessed areas, and planarity will be lost. Chemical formulations for the electrochemical mechanical planarization (ECMP) of copper must contain constituents that are stable at anodic potentials. A key component of the formulation is a corrosion inhibitor, which is required to protect low lying areas while higher areas are selectively removed. Organic compounds, which adsorb on copper at low overpotentials and form a film by oxidation at higher overpotentials, may be particularly useful for ECMP. The main goal of the research reported in this dissertation is to understand and develop oxalic acid-based chemical systems suitable for ECMP of copper through electrochemical and surface investigations. Special attention was paid to the development of an inhibitor, which can function under applied potential conditions. Physical methods such as profilometry and four point probe were used to obtain copper removal rates. An organic compound, thiosalicylic acid (TSA), was identified and tested as a potential corrosion inhibitor for copper. TSA offers better protection than the conventionally used benzotriazole (BTA) by oxidizing at high anodic potentials to form a passive film on the copper surface. The passive film formed on the copper surface by addition of TSA was characterized by X-ray photoelectron spectroscopy. The oxidation potential of TSA was characterized using cyclic voltammetry. The passivation and repassivation kinetics was investigated in detail and a passivation mechanism of copper in oxalic acid in the presence of TSA is proposed. Copper removal experiments were performed on a specially designed electrochemical abrasion cell (EC-AC) in both the presence and absence of inhibitors. The effect of anodic potentials on the dissolution of copper was studied to identify suitable conditions for the electro-chemical mechanical planarization process.
APA, Harvard, Vancouver, ISO, and other styles
3

Mudhivarthi, Subrahmanya R. "Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes." [Tampa, Fla.] : University of South Florida, 2007. http://purl.fcla.edu/usf/dc/et/SFE0002288.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Philipossian, Ara, Yasa Sampurno, and Lauren Peckler. "Chemical Mechanical Planarization and Old Italian Violins." MDPI AG, 2018. http://hdl.handle.net/10150/627056.

Full text
Abstract:
Previous studies have shown that spectral analysis based on force data can elucidate fundamental physical phenomena during chemical mechanical planarization (CMP). While it has not been literally described elsewhere, such analysis was partly motivated by modern violinmakers and physicists studying Old Italian violins, who were trying to discover spectral relations to sound quality. In this paper, we draw parallels between violins and CMP as far as functionality and spectral characteristics are concerned. Inspired by the de facto standard of violin testing via hammer strikes on the base edge of a violin's bridge, we introduce for the first time, a mobility plot for the polisher by striking the wafer carrier head of a CMP polisher with a hammer. Results show three independent peaks that can indeed be attributed to the polisher's natural resonance. Extending our study to an actual CMP process, similar to hammered and bowed violin tests, at lower frequencies the hammered and polished mobility peaks are somewhat aligned. At higher frequencies, peak alignment becomes less obvious and the peaks become more isolated and defined in the case of the polished wafer spectrum. Lastly, we introduce another parameter from violin testing known as directivity, , which in our case, we define as the ratio of shear force variance to normal force variance acquired during CMP. Results shows that under identical polishing conditions, increases with the polishing removal rate.
APA, Harvard, Vancouver, ISO, and other styles
5

Johnson, Joy Marie. "Slurry abrasive particle agglomeration experimentation and modeling for chemical mechanical planarization (CMP)." Thesis, Massachusetts Institute of Technology, 2015. http://hdl.handle.net/1721.1/99832.

Full text
Abstract:
Thesis: Ph. D., Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science, 2015.
Cataloged from PDF version of thesis.
Includes bibliographical references (pages 177-188).
A theoretical modeling approach is developed to predict silica-specific instability in chemical-mechanical polishing (CMP) slurries. In CMP, the formation of large agglomerates is of great concern, as these large particles are associated with high defectivity and poor polishing performance. The proposed model describes the complex CMP slurry system as a colloid under high non-linear shear conditions. The model diverges from the classic colloidal models by focusing on the following: reaction limited agglomeration (RLA) bounded by silica-specific modes of transitory bonding, and modified DVLO assumptions to include chemical activation and hydrodynamic agglomerate break-up condition evaluation. In order to build physical intuition and predict key model parameters, fundamental studies and novel metrology of agglomerates is performed.
by Joy Marie Johnson.
Ph. D.
APA, Harvard, Vancouver, ISO, and other styles
6

Luo, Ying. "SLURRY CHEMISTRY EFFECTS ON COPPER CHEMICAL MECHANICAL PLANARIZATION." Master's thesis, University of Central Florida, 2004. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/4470.

Full text
Abstract:
Chemical-mechanical Planarization (CMP) has emerged as one of the fastest-growing processes in the semiconductor manufacturing industry, and it is expected to show equally explosive growth in the future (Braun, 2001). The development of CMP has been fueled by the introduction of copper interconnects in microelectronic devices. Other novel applications of CMP include the fabrications of microelectromechanical systems (MEMS), advanced displays, three dimensional systems, and so on (Evans, 2002). CMP is expected to play a key role in the next-generation micro- and nanofabrication technologies (Singh, et al., 2002). Despite the rapid increase in CMP applications, the fundamental understanding of the CMP process has been lacking, particularly the understanding of the wafer-slurry-pad interactions that occur during the CMP process. Novel applications of CMP are expected to expand to materials that are complex chemically and fragile mechanically. Thus, fundamental understanding and improvement of slurry design for CMP is the key to the development of sophisticated next-generation CMP processes. Slurry performance for CMP can be determined by several output parameters including removal rate, global planarity, surface topography, and surface defectivity. To achieve global planarity, it is essential to form a very thin passivating surface layer (<2 nm) that is subsequently removed by the mechanical component of the slurry (Kaufman et al., 1991) or by combined chemo-mechanical effects (Tamboli, 2000). Chemical additives like hydrogen peroxide (H?O?), potassium ferricyanide, and ferric chloride are added to slurries as oxidizers in order to form a desirable surface layer. Other chemical additives such as inhibitors (e.g. benzotriazole) and complexing agents (e.g. ammonia) are added to the copper slurry in order to modify the oxide layer. That the removal rate of the thin surface layer is greater at the highest regions of the wafer surface than at the lowest regions leads to surface planarity. In this study, various complexing agents and inhibitors are combined to form slurry chemistry for copper CMP processing in H?O? based slurries at pH values ranging from 2 to 10. Two complexing agents (glycine and Ethylenediamine) and one inhibitor (3-amino-1, 2, 4-triazole) were selected as slurry constituents for detailed chemical synergistic effect study because they showed good materials removal and surface planarity performances. To understand the fundamental mechanisms involved in copper CMP process with the afore-mentioned slurry chemical formations, various techniques, such as electrochemical testing techniques (including potentiodynamic polarization and electrochemical impedance spectroscopy), x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM), were applied. As a result, guidelines for optimized slurry chemical formulation were arrived at and the possible mechanisms of surface-chemical-abrasive interactions were determined. From applications point of view, this study serves as a guide for further investigations in pursuing highly effective slurry formulations for copper/low-k interconnect applications.
M.S.
Department of Mechanical, Materials and Aerospace Engineering;
Engineering and Computer Science
Mechanical, Materials and Aerospace Engineering;
APA, Harvard, Vancouver, ISO, and other styles
7

Sampurno, Yasa. "Fundamental Consumables Characterization of Advanced Dielectric and Metal Chemical Mechanical Planarization Processes." Diss., The University of Arizona, 2008. http://hdl.handle.net/10150/194544.

Full text
Abstract:
This dissertation presents a series of studies relating to kinetics and kinematics of inter-layer dielectric and metal chemical mechanical planarization processes. These are also evaluated with the purposes of minimizing environmental and cost of ownership impact.The first study is performed to obtain the real-time substrate temperature during the polishing process and is specifically intended to understand the temperature distribution across the polishing wafer during the chemical mechanical planarization process. Later, this technique is implemented to study the effect of slurry injection position for optimum slurry usage. It is known that the performance of chemical mechanical planarization depends significantly on the polishing pad and the kinematics involved in the process. Variations in pad material and pad grooving type as well as pressure and sliding velocity can affect polishing performance. One study in this dissertation investigates thermoset and thermoplastic pad materials with different grooving methods and patterns. The study is conducted on multiple pressure and sliding velocity variations to understand the characteristic of each pad. The analysis method elaborated in this study can be applied generically.A subsequent study focuses in a slurry characterization technique. Slurry, a critical component in chemical mechanical planarization, is typically a water-based dispersion of fine abrasive particles with various additives to control material removal rate and microscratches. Simultaneous turbidity and low angle light scattering methods under well-defined mixing conditions are shown to quantify the stability of abrasive particle from aggregations. Further contribution of this dissertation involves studies related to the spectral analysis of raw shear force and down force data obtained during chemical mechanical planarization. These studies implemented Fast Fourier Transforms to convert force data from time to frequency domain. A study is performed to detect the presence of larger, defect-causing particles during polishing. In a further application on diamond disc conditioning work is performed to achieve optimum break-in time and an optimum conditioning duty cycle. Studies on spectral analysis are also extended to planarization of shallow trench isolation pattern wafers to monitor the polishing progress in real-time.
APA, Harvard, Vancouver, ISO, and other styles
8

Sorooshian, Jamshid. "Tribological, Thermal and Kinetic Characterization of Dielectric and Metal Chemical Mechanical Planarization Processes." Diss., Tucson, Arizona : University of Arizona, 2005. http://etd.library.arizona.edu/etd/GetFileServlet?file=file:///data1/pdf/etd/azu%5Fetd%5F1126%5F1%5Fm.pdf&type=application/pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Zantye, Parshuram B. "Processing, Reliability And Integration Issues In Chemical Mechanical Planarization." [Tampa, Fla.] : University of South Florida, 2005. http://purl.fcla.edu/fcla/etd/SFE0001263.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Mu, Yan, and Yan Mu. "Slurry Mean Residence Time Analysis and Pad-Wafer Contact Characterization in Chemical Mechanical Planarization." Diss., The University of Arizona, 2016. http://hdl.handle.net/10150/621459.

Full text
Abstract:
This dissertation presents a series of studies related to the slurry mean residence time analysis and the pad-wafer contact characterization in Chemical Mechanical Planarization (CMP). The purpose of these studies is to further understand the fundamentals of CMP and to explore solutions to some of CMP's challenges. Mean residence time (MRT) is a widely used term that is mostly seen in classical chemical engineering reactor analysis. In a CMP process, the wafer-pad interface can be treated as a closed system reactor, and classical reactor theory can be applied to the slurry flow through the region. Slurry MRT represents the average time it takes for fresh incoming slurry to replace the existing slurry in the region bound between the pad and the wafer. Understanding the parameters that have an impact on MRT, and therefore removal rate, is critical to maintain tight specifications in the CMP process. In this dissertation, we proposed a novel slurry injection system (SIS) which efficiently introduced fresh slurry into the pad-wafer interface to reduce MRT. Results indicated that SIS exhibited lower slurry MRT and dispersion numbers but higher removal rates than the standard pad center slurry application by blocking the spent slurry and residual rinse water from re-entering the pad-wafer interface during polishing. Another study in this dissertation dealt with the effect of pad groove width on slurry MRT in the pad-wafer interface as well as slurry utilization efficiency (η). Three concentrically grooved pads with different groove widths were tested at different polishing pressures to experimentally determine the corresponding MRT using the residence time distribution (RTD) technique. Results showed that MRT and η increased significantly when the groove width increased from 300 to 600μm. On the other hand, when the groove width increased further to 900μm, MRT continued to increase while n remained constant. Results also indicated that MRT was reduced at a higher polishing pressure while η did not change significantly with pressure for all three pads. In the last study of this dissertation, the effect of pad surface micro-texture on removal rate during tungsten CMP was investigated. Two different conditioner discs ("Disc A" and "Disc B") were employed to generate different pad surface micro-textures during polishing. Results showed that "Disc B" generated consistently lower removal rates and coefficients of friction than "Disc A". To fundamentally elucidate the cause(s) of such differences, pad surface contact area and topography were analyzed using laser confocal microscopy. The comparison of the pad surface micro-texture analysis on pad surfaces conditioned by both discs indicated that "Disc A" generated a surface having a smaller abruptness (λ) and more solid contact area which resulted in a higher removal rate. In contrast, "Disc B" generated many large near-contact areas as a result of fractured and collapsed pore walls.
APA, Harvard, Vancouver, ISO, and other styles
11

Wei, Xiaomin. "FUNDAMENTAL CHARACTERIZATION OF TRIBOLOGICAL, THERMAL, FLUID DYNAMIC AND WEAR ATTRIBUTES OF CONSUMABLES IN CHEMICAL MECHANICAL PLANARIZATION." Diss., The University of Arizona, 2010. http://hdl.handle.net/10150/195125.

Full text
Abstract:
This dissertation presents several studies relating to fundamental characterization of CMP consumables in planarization processes. These are also evaluated with the purposes of minimizing environmental impact and reducing cost of ownership (COO).The first study is conducted to obtain the retaining ring wear rate in a typical ILD CMP process and is specifically intended to investigate the effect of retaining ring materials and slot designs during the CMP process. The results show that retaining ring materials have effect on the COF, pad temperature and retaining ring wear rate, while retaining ring slot designs affect the pad surface abruptness. The second study is performed to compare the effect of different retaining ring slot designs on the slurry film thickness within the pad-wafer interface. A novel non-intrusive optical technique, dual emission UV-enhanced fluorescence (DEUVEF), was applied to accurately measure the film thickness of the slurry underneath the wafer during polishing. It is indicated that the optimized retaining ring slot design can significantly reduce the COO of CMP processes by increasing slurry utilization.A COF method is applied to measure the slurry mean residence time (MRT) during CMP. This technique uses transient COF data induced by a shift in slurry concentration to determine MRT. Variations in consumables as well as sliding velocity, pressure and slurry flow rate can affect the slurry MRT. One study in this dissertation focus on the effect of retaining ring slot designs on the slurry MRT. Another study compares the slurry MRT under same polishing conditions using pads with different groove width. Both studies are conducted on multiple sliding velocity, pressure and slurry flow rate variations to understand the characteristics of consumable designs. The method of measuring MRT during polishing presented in this dissertation can be easily applied in general CMP processes.The subsequent studies focus in the diamond conditioner discs characterization techniques. A newly developed method for determining active diamonds and aggressive diamonds on a diamond conditioner disc under a certain vertical load is elaborated in this dissertation. Later, this technique together with scanning electron microscopy (SEM) imaging is implemented to analyze diamond pullout and fracture in CMP. Five different types of diamond conditioner discs are subjected to a novel accelerated wear test respectively to compare the extent of diamond pullout and fracture under the same conditioning condition
APA, Harvard, Vancouver, ISO, and other styles
12

Coutinho, Cecil A. "Multi-functional composite materials for catalysis and chemical mechanical planarization." [Tampa, Fla] : University of South Florida, 2009. http://purl.fcla.edu/usf/dc/et/SFE0002980.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Deshpande, Sameer Arun. "SURFACE CHEMISTRY OF APPLICATION SPECIFIC PADS AND COPPER CHEMICAL MECHANICAL PLANARIZATION." Master's thesis, University of Central Florida, 2004. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/4474.

Full text
Abstract:
Advances in the interconnection technology have played a key role in the continued improvement of the integrated circuit (IC) density, performance and cost. Copper (Cu) metallization, dual damascenes processing and integration of copper with low dielectric constant material are key issues in the IC industries. Chemical mechanical planarization of copper (Cu-CMP) has emerged as an important process for the manufacturing of ICs. Usually, Cu-CMP process consists of several steps such as the removal of surface layer by mechanical action of the pad and the abrasive particles, the dissolution of the abraded particles in the CMP solution, and the protection of the recess areas. The CMP process occurs at the atomic level at the pad/slurry/wafer interface, and hence, slurries and polishing pads play critical role in its successful implementation. The slurry for the Cu-CMP contains chemical components to facilitate the oxidation and removal of excess Cu as well as passivation of the polished surface. During the process, these slurry chemicals also react with the pad. In the present study, investigations were carried out to understand the effect of hydrogen peroxide (H[subscript 2]O[subscript 2]) as an oxidant and benzotriazole (BTA) as an inhibitor on the CMP of Cu. Interaction of these slurry components on copper has been investigated using electrochemical studies, x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS). In the presence of 0.1M glycine, Cu removal rate was found to be high in the solution containing 5% H[subscript 2]O[subscript 2] at pH 2 because of the Cu-glycine complexation reaction. The dissolution rate of the Cu was found to increase due to the formation of highly soluble Cu-glycine complex in the presence of H[subscript 2]O[subscript 2]. Addition of 0.01M BTA in the solution containing 0.1M glycine and 5% H[subscript 2]O[subscript 2] at pH 2 exhibited a reduction in the Cu removal rate due to the formation of Cu-BTA complex on the surface of the Cu further inhibiting the dissolution. XPS and SIMS investigations revealed the formation of such Cu-glycine complex, which help understand the mechanism of the Cu-oxidant-inhibitor interaction during polishing. Along with the slurry, pads used in the Cu-CMP process have direct influence an overall process. To overcome problems associated with the current pads, new application specific pad (ASP) have been developed in collaboration with PsiloQuest Inc. Using plasma enhanced chemical vapor deposition (PECVD) process; surface of such ASP pads were modified. Plasma treatment of a polymer surface results in the formation of various functional groups and radicals. Post plasma treatment such as chemical reduction or oxidation imparts a more uniform distribution of such functional groups on the surface of the polymer resulting in unique surface properties. The mechanical properties of such coated pad have been investigated using nano-indentation technique in collaboration with Dr. Vaidyanathan's research group. The surface morphology and the chemistry of the ASP are studied using scanning electron microcopy (SEM), x-ray photoelectron spectroscopy (XPS), and fourier transform infrared spectroscopy (FTIR) to understand the formation of different chemical species on the surface. It is observed that the mechanical and the chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD treated pads are found to be hydrophilic and do not require being stored in aqueous medium during the not-in-use period. The metal removal rate using such surface modified polishing pad is found to increase linearly with the PECVD coating time. Overall, this thesis is an attempt to optimize the two most important parameters of the Cu-CMP process viz. slurry and pads for enhanced performance and ultimately reduce the cost of ownership (CoO).
M.S.
Department of Mechanical, Materials and Aerospace Engineering
Engineering and Computer Science
Mechanical, Materials and Aerospace Engineering
APA, Harvard, Vancouver, ISO, and other styles
14

Bahr, Matthew, Yasa Sampurno, Ruochen Han, and Ara Philipossian. "Slurry Injection Schemes on the Extent of Slurry Mixing and Availability during Chemical Mechanical Planarization." MDPI AG, 2017. http://hdl.handle.net/10150/625063.

Full text
Abstract:
In this study, slurry availability and the extent of the slurry mixing (i.e., among fresh slurry, spent slurry, and residual rinse-water) were varied via three different injection schemes. An ultraviolet enhanced fluorescence technique was employed to qualitatively indicate slurry availability and its flow on the pad during polishing. This study investigated standard pad center area slurry application and a slurry injection system (SIS) that covered only the outer half of the wafer track. Results indicated that the radial position of slurry injection and the alteration of fluid mechanics by the SIS played important roles in slurry mixing characteristics and availability atop the pad. Removal rates were found to decrease with slurry availability, while a higher degree of slurry mixing decreased the fraction of fresh slurry and consequently lowered the removal rate. By using a hybrid system (i.e., a combination of slurry injection via SIS and standard pad center slurry application), the polishing process benefited from higher slurry availability and higher fraction of fresh slurry than the conventional pad center slurry application and the shorter SIS, individually. This work underscores the importance of optimum slurry injection geometry and flow for obtaining a more cost-effective and environmentally benign chemical mechanical planarization process.
APA, Harvard, Vancouver, ISO, and other styles
15

Altman, Arthur H. "Applying run-by-run process control to chemical-mechanical planarization and assessing insertion costs versus benefits of CMP." Thesis, Massachusetts Institute of Technology, 1995. http://hdl.handle.net/1721.1/37766.

Full text
Abstract:
Thesis (M.S.)--Massachusetts Institute of Technology, Sloan School of Management, 1995, and Thesis (M.S.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1995.
Includes bibliographical references (p. 87-89).
by Arthur H. Altman.
M.S.
APA, Harvard, Vancouver, ISO, and other styles
16

Lallave-Cortes, Jorge C. "Numerical heat transfer during partially-confined, confined, and free liquid jet impingement with rotation and chemical mechanical planarization process modeling." [Tampa, Fla] : University of South Florida, 2009. http://purl.fcla.edu/usf/dc/et/SFE0002968.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Hempel, Steffi. "Aufklärung der Wechselwirkung von Abrasivteilchen einer Poliersuspension mit Oberflächen mittels direkter Kraft- und rheologischer Untersuchungen." Doctoral thesis, Saechsische Landesbibliothek- Staats- und Universitaetsbibliothek Dresden, 2012. http://nbn-resolving.de/urn:nbn:de:bsz:14-qucosa-81997.

Full text
Abstract:
Das chemisch-mechanische Planarisieren (CMP) in der Halbleiterindustrie ist ein Prozess mit sehr vielen Einflussgrößen, wobei das Polierergebnis unter anderem von den Eigenschaften der Wechselwirkungskomponenten Wafer, Poliersuspension und Polierpad abhängig ist. Bei der Entwicklung neuer Schaltkreisentwürfe werden die strukturellen Abhängigkeiten der Topografie nach dem CMP häufig im Verlauf von zeit- und kostenintensiven Lernzyklen aufgedeckt und angepasst. Um Dauer und Kosten für die Entwicklung neuer Schaltkreise zu reduzieren, sollte im Rahmen eines BMBF-Projektes ein umfassendes Gesamtmodell, welches den Polierprozess ausführlich beschreibt, entwickelt werden. Für die Umsetzung dieses Vorhabens ist ein umfassendes qualitatives und quantitatives Verständnis der mechanisch-hydrodynamischen und physikalisch-chemischen Mechanismen zu erarbeiten, welche den Materialabtrag und die Planarisierung beim CMP bestimmen. Ziel der vorliegenden Arbeit war es zum einen, mittels direkter Kraftmessung am AFM die Wechselwirkungskräfte zwischen den Festkörperoberflächen von Schleifpartikel und Wafer sowie zwischen den Schleifpartikeln untereinander in CMP-relevanten Flüssigkeiten und ihre Bedeutung für das CMP zu untersuchen. Um die Wechselwirkungskräfte am AFM bestimmen zu können, war zuvor die Entwicklung einer geeigneten Versuchsanordnung nötig. Zur Absicherung der Ergebnisse aus den Kraftmessungen wurde eine Methode erarbeitet, um die zwischenpartikulären Wechselwirkungen mittels rheologischer Untersuchungen indirekt bestimmen zu können. Des Weiteren fanden rheologische Messungen zur Untersuchung der Fließeigenschaften der Poliersuspensionen statt, wobei außerdem der Einfluss anwendungsrelevanter hydrodynamischer Kräfte auf die Stabilität der Poliersuspension zu überprüfen war. Als Poliersuspensionen kamen kommerziell verfügbare Slurries sowie eine Modellslurry zum Einsatz. Neben Systemen mit dispergierten Silica-Partikeln wurde auch eine Slurry mit Ceria-Partikeln als disperse Phase betrachtet. Die kontinuierliche Phase einer Poliersuspension ist ein Mehrkomponentensystem und enthält unterschiedlichste Additive. Untersucht wurde der Einfluss von pH-Wert und Elektrolytkonzentration auf die Wechselwirkungskräfte, das Fließverhalten sowie den Materialabtrag.
APA, Harvard, Vancouver, ISO, and other styles
18

Taylor, Andre D. "Chemical-mechanical planarization of lithium gallate." Thesis, Georgia Institute of Technology, 1999. http://hdl.handle.net/1853/12375.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Atiquzzaman, Fnu. "Chemical Mechanical Planarization of Electronic Materials." Scholar Commons, 2012. http://scholarcommons.usf.edu/etd/4280.

Full text
Abstract:
In the modern semiconductor manufacturing processes, chemical mechanical planarization (CMP) has attained important processing step because of its ability to provide global planarization. CMP is the planarization technique which is used for the removal of excess material, as left over from the previous processing steps. In addition, CMP offers a uniform surface that is essential for subsequent processing steps, especially for the high resolution photolithography processes. In simpler notation, CMP is a process where a chemical reaction enhances in obtaining a planar surface through removal of the mechanical materials from a wafer. In this study, CMP performance of three electronic materials was investigated. Chemical vapor deposited (CVD) diamond films, as a first materials, was fabricated using hot-filament chemical vapor deposition technique (HFCVD). The synthesized microcrystalline diamond (MCD) films were characterized using Raman Spectroscopy, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), and X-ray Diffraction (XRD). The CMP performance of the MCD and nanocrystalline diamond (NCD) synthesized in Nano Materials Research Laboratory (NMRL) were investigated by using commercial slurry procured by Logitech Inc. U.K. The post-CMP characterizations of diamond films were performed by AFM in order to investigate surface roughness. The result showed the significant reduction the surface roughness of MCD films (37 nm to 15 nm) and NCD films (18 nm to 12 nm). In addition, the CMP performance of the silicon dioxide was investigated in this research work. The novel nanodiamond-polymer based slurry was also developed by co-polymerization of N-isopropylacrylamide (NIPAM) and N,N'-methylenebisacrylamide, 3-(trimethoxysilyl) propyl methacrylate (MPS). The synthesized slurry was characterized by Transmission Electron Microscopy (TEM) for observing the dispersion of diamond particles in the polymer matrix. The investigation of silicon dioxide was carried out using conventional ceria based slurry and novel nanodiamond-polymer based slurry. The results showed excellent surface finish at the minor expense of material removal rate with nanodiamond-polymer based slurry. Also, the coefficient of friction of friction was significantly reduced by using novel nanodiamond polymer based slurry. Lastly, CMP behavior of copper wafer was examined under different polishing conditions. The polishing was carried out using the commercial slurry procured from Cabot Microelectronics Inc., U.S. The copper wafers were characterized by AFM in order to analyze surface roughness. The results showed the reduction in average surface roughness occurred from 4.7 nm to 1.7 nm. This range of average surface roughness meets the demands of modern semiconductor industries.
APA, Harvard, Vancouver, ISO, and other styles
20

Johnson, Joy Marie. "Modeling of advanced integrated circuit planarization processes : electrochemical-mechanical planarization (eCMP), STI CMP using non-conventional slurries." Thesis, Massachusetts Institute of Technology, 2009. http://hdl.handle.net/1721.1/52807.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2009.
This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.
Cataloged from student submitted PDF version of thesis.
Includes bibliographical references (p. 95-99).
Progression of technology nodes in integrated circuit design is only possible if there are sustainable, cost-efficient processes by which these designs can be implemented. As future technologies are increasing device density, shrinking device dimensions, and employing novel structures, semiconductor processing must also advance to effectively and eciently process these devices. Arguably one of the most critical, inefficient, poorly understood and costly processes is planarization. Thus, this thesis focuses on two types of planarization processes. Models of efficient and environmentally benign electrochemical-mechanical copper planarization (eCMP) are developed, with a focus on electrochemical mechanisms and wafer-scale uniformity. Specifically, previous models for eCMP are enhanced to consider the full electrochemical system driving planarization in eCMP. We explore the notion of electrochemical reactions at both the cathode and anode, in addition to lateral current flow in a time-averaged calculation. More ecient and accurate models for planarization of shallow-trench isolation (STI) structures are proposed, with a focus on die-scale and feature-scale uniformity. This thesis captures the fundamental weakness of CMP, pattern dependencies, and uses deposition prole effects as well as the pattern-density to more accurately model and physically represent STI structures during CMP. We model, for the first time, the evolution of pattern density as a function of time and step-height, and use layout biasing to account for deposition prole evolution for the accurate prediction of die and feature-scale CMP.
by Joy Marie Johnson.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
21

Kakireddy, Veera Raghava R. "Effect of temperature on copper chemical mechanical planarization." [Tampa, Fla.] : University of South Florida, 2007. http://purl.fcla.edu/usf/dc/et/SFE0001973.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Ouma, Dennis Okumu. "Modeling of chemical mechanical polishing for dielectric planarization." Thesis, Massachusetts Institute of Technology, 1998. http://hdl.handle.net/1721.1/9704.

Full text
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, February 1999.
Includes bibliographical references (p. 223-238).
Chemical mechanical polishing (CMP) has emerged as the dielectric planarization method of choice since it can reduce topography over longer length scales than traditional techniques. However, CMP still suffers from large die-level layout pattern dependencies and process induced wafer-level variations. An effective characterization and modeling methodology is needed to facilitate the assessment and reduction of such variation. This thesis identifies the process, consumable, and layout pattern dependencies in inter-level dielectric (ILD) and shallow trench isolation (STI) CMP, and develops a comprehensive semi-physically-based process model and characterization methodology. In the characterization phase, the planarization length of the process is determined. The planarization length is the characteristic length of an elliptic weighting function which captures the long range pad deformation during CMP; it determines the lengthscale over which surrounding features affect the local pressure at a spatial location. Given the planarization length for a process, the effective pattern density across a die can be calculated for any layout. In the modeling phase, a pattern density dependent analytic model is used to predict the temporal film thickness evolution. Polish characteristics of different dies on the wafer are captured through a die-position dependent blanket rate which accounts for blanket rate variation across a wafer. The correct and efficient determination of the planarization length is achieved by using a test layout mask that has step density structures to provide. large abrupt post-CMP thickness variations. Fast Fourier Transform (FFt) is used to compute the effective pattern density during both the characterization and modeling phases. Realistic simulation of film thickness evolution across any die on the wafer is thus possible. Accurate film thickness prediction in CMP is useful for many applications, including process improvement and optimization. Using the model developed in this work, the optimal film thickness that must be deposited for any given planarization requirement can be determined. This results in significant reduction in polish time and slurry waste. In addition to the process related applications, the model is instrumental in assessing the impact of inter-layer dielectric (ILD) thickness variation on circuit performance. Significant reduction of such variation is achieved through a more equitable effective pattern density distribution across a die either by introducing dummy structures, or by using process conditions and consumable sets which result in longer planarization lengths. In either case, the methodology presented in this work simplifies the evaluation of the scheme employed.
by Dennis Okumu Ouma.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
23

Zhang, Liming 1966. "Contamination and galvanic corrosion in metal chemical-mechanical planarization." Diss., The University of Arizona, 1998. http://hdl.handle.net/10150/282840.

Full text
Abstract:
Chemical mechanical planarization (CMP) of metals is a critical process in the manufacturing of ultra-large scale integrated (ULSI) circuit devices. The overall success of a CMP process requires minimal particulate and metallic contamination of the structures subjected to CMP. The objective of this study was to investigate alumina particle contamination during tungsten CMP, copper contamination in copper CMP, and galvanic corrosion between metal films and adhesion layers during the final stages of tungsten and copper CMP. Particular attention was paid to the use of short chain organic carboxylic acids in reducing the contamination. Both electrokinetic and uptake measurements showed that citric acid and malonic acid interact with alumina particles by electrostatic as well as specific adsorption forces. Systematic immersion contamination and polishing experiments were carried out to demonstrate the effectiveness of the acids in controlling alumina particulate contamination on wafer surfaces. The difference in the surface cleanliness was interpreted using the electrokinetic data and the calculated interaction energy between alumina particles and the wafer surface. Electrochemical tests showed no severe attack on tungsten films by the acids. Copper ions were found to adsorb onto the silicon dioxide surface, leading to copper contamination levels of upto 10¹³ atoms/cm². The extent of copper contamination was found to depend on the solution pH and the presence of additives such as hydrogen peroxide. Both electrokinetic measurements and immersion contamination experiments showed that citric acid can reduce the copper contamination on the silicon dioxide surface. TiN is more noble than tungsten in the solutions containing oxidants used in tungsten CMP slurries. The most significant corrosion of tungsten was found in the presence of hydrogen peroxide. Copper was found to be more noble than tantalum in acidic solutions. However, in alkaline ammonium hydroxide solutions, the relative nobility of copper and tantalum can be reversed by adding hydrogen peroxide. The corrosion of tungsten and copper appears to be very minimally affected by coupling with TiN and tantalum, respectively.
APA, Harvard, Vancouver, ISO, and other styles
24

Hashemi, Fardad Ali 1976. "Design of a precision chemical mechanical planarization research system." Thesis, Massachusetts Institute of Technology, 2000. http://hdl.handle.net/1721.1/89285.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Ng, Dedy. "Nanoparticles removal in post-CMP (Chemical-Mechanical Polishing) cleaning." Thesis, Texas A&M University, 2005. http://hdl.handle.net/1969.1/4159.

Full text
Abstract:
Research was performed to study the particle adhesion on the wafer surface after the chemical-mechanical polishing (CMP) process. The embedded particles can be abrasive particles from the slurry, debris from pad material, and particles of film being polished. Different methods of particle removal mechanism were investigated in order to find out the most effective technique. In post-CMP cleaning, surfactant was added in the solution. Results were compared with cleaning without surfactant and showed that cleaning was more effective with the combined interaction of the mechanical effort from the brush sweeping and the chemistry of the surfactant in the solution (i.e., tribochemical interaction). Numerical analysis was also performed to predict the particle removal rate with the addition of surfactants. The van der Waals forces present in the wafer-particle interface were calculated in order to find the energy required to remove the particle. Finally, the adhesion process was studied by modeling the van der Waals force as a function of separation distance between the particle and the surface. The successful adaptation of elasticity theory to nanoparticle-surface interaction brought insight into CMP cleaning mechanisms. The model tells us that it is not always the case that as the separation distance is decreased, the attraction force will be increased. The force value estimated can be used for slurry design and CMP process estimation.
APA, Harvard, Vancouver, ISO, and other styles
26

Vusirikala, Shanti. "CFD simulation of contact planarization." Diss., Rolla, Mo. : University of Missouri-Rolla, 2007. http://scholarsmine.umr.edu/thesis/pdf/Vusirikala_09007dcc80446043.pdf.

Full text
Abstract:
Thesis (M.S.)--University of Missouri--Rolla, 2007.
Vita. The entire thesis text is included in file. Title from title screen of thesis/dissertation PDF file (viewed March 25, 2008) Includes bibliographical references (p. 77-79).
APA, Harvard, Vancouver, ISO, and other styles
27

Levert, Joseph Albert. "Interface mechanics of chemical mechanical polishing for integrated circuit planarization." Diss., Georgia Institute of Technology, 1997. http://hdl.handle.net/1853/15914.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Li, Zhonglin. "Tribological, Kinetic and Thermal Characteristics of Copper Chemical Mechanical Planarization." Diss., Tucson, Arizona : University of Arizona, 2005. http://etd.library.arizona.edu/etd/GetFileServlet?file=file:///data1/pdf/etd/azu%5Fetd%5F1378%5F1%5Fm.pdf&type=application/pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Campbell, William Jarrett. "Model predictive run-to-run control of chemical mechanical planarization /." Digital version accessible at:, 1999. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Fang, Yan. "Fundamental electrochemical aspects of chemical mechanical planarization of aluminum thin films." Diss., The University of Arizona, 2000. http://hdl.handle.net/10150/284192.

Full text
Abstract:
The chemical mechanical planarization (CMP) process is critical in fabricating ultra large scale integrated (ULSI) circuit devices in semiconductor industry. In a typical aluminum damascene interconnect scheme, Al is usually blanket deposited over a liner layer to fill contact holes and vias. After deposition, the excess of Al is removed by CMP, leaving Al only in the holes and vias to form interconnects. Since the slurries used for aluminum CMP typically contain an oxidant and other chemical additives, the electrochemical behavior of Al and the liner may be expected to affect the polishing rates. In addition, when the excess of Al is removed, a surface transition from Al to liner occurs. Since Al and the liner may exhibit different electrochemical behaviors in the slurry, galvanic coupling between Al and the underlayer is a possibility. Such a coupling may lead to localized corrosion or rate control problems. The objective of this research was to characterize the fundamental electrochemical behavior of thin aluminum-0.5%copper, titanium and aluminum/titanium stack films before, during and after abrasion in a commercially available alumina based slurry containing iodate as an oxidant. A special apparatus in which electrochemical tests can be carried out during polishing was fabricated and used for this research. It was found that the electrochemical corrosion rates during abrasion were much smaller than the actual polishing rates obtained with the simulated CMP apparatus, indicating that the mechanism of Al removal by the iodate based slurry may not be dominated by electrochemical factors. A sharp rise in corrosion potential (Ecorr) during the transition from Al to Ti film was measured during polishing of the Al/Ti film stack. This potential change during transition was of the order of 1V on the Al film deposited at room temperature. The transition was much sharper with the low-temperature (25°C) Al film than the high-temperature (475°C) Al thin film. The slower transition in OCP in high-temperature films is most likely due to a Ti-Al intermetallic compound formed at the Al/Ti interface. The galvanic corrosion between Al and Ti during polishing and Al post-polishing corrosion issues were also investigated. It was found that the galvanic corrosion rate between Al and Ti is 6*10⁻⁴ A/cm² and the corrosion potential is -0.24 V. Also, the corrosion current density for Al after abrasion and immersion in de-ionized water is lower than that in the slurry. In addition, the post polishing corrosion of Al in after abrasion in the iodate based alumina slurry was also investigated. It was found that the corrosion of Al in DI water after abrasion was insignificant.
APA, Harvard, Vancouver, ISO, and other styles
31

Meled, Anand. "Optimization of Polishing Kinematics and Consumables during Chemical Mechanical Planarization Processes." Diss., The University of Arizona, 2011. http://hdl.handle.net/10150/145385.

Full text
Abstract:
This dissertation presents a series of studies relating to optimization of kinematics and consumables during chemical mechanical planarization processes. These are also evaluated with the purpose of minimizing environmental and cost of ownership impacts.In order to study diamond micro-wear and substrate wear during planarization processes, a series of static etch tests and wear tests were performed using different types of diamond discs and subjected to various treatments. Scanning Electron Microscopy (SEM) and Inductively Coupled Plasma Membrane Spectroscopy (ICPMS) were used to estimate the extent of diamond micro-wear and substrate wear.Next, the impact of various factors (type of slurry abrasive, pH, abrasive content and abrasive concentration) on pad wear rate during planarization process was studied. Another study in this dissertation focuses on the development of a novel technique of using coefficient of friction (COF) data to distinguish between good and bad diamond discs. This study made use of the innovative tool diamond disc dragging device (DDD-100) designed and developed for the purpose of this study.It is known that the performance of chemical mechanical planarization depends significantly on the polishing pad grooving type and the kinematics involved in the process. Variations in pad grooving type as well as pressure and sliding velocity can affect polishing performance. One study in this dissertation investigates the effect of pressure and sliding velocity on the polishing performance. The study is conducted on multiple pressure and sliding velocity variations to understand the characteristic of each condition. A subsequent study focuses on the impact of pad grooving type on polishing performance.The greatest contribution of this dissertation involves development of the novel slurry injector to optimize the utilization of slurry during planarization processes. Slurry is a critical component in chemical mechanical planarization processes and accounts for approximately 50 percent of the cost of ownership (CoO). The novel injector apart from reducing the consumption of slurry, also contributed in addressing problems associated with foaming, reduced the number of defects and achieved better within wafer non-uniformity (WIWNU).
APA, Harvard, Vancouver, ISO, and other styles
32

Muthukumaran, Ashok Kumar. "Chemical Systems for Electrochemical Mechanical Planarization of Copper and Tantalum Films." Diss., The University of Arizona, 2008. http://hdl.handle.net/10150/194160.

Full text
Abstract:
Electro-Chemical Mechanical Planarization (ECMP) is a new and highly promising technology just reaching industrial application; investigation of chemistries, consumables, and tool/control approaches are needed to overcome technological limitations. Development of chemical formulations for ECMP presents several challenges. Unlike conventional CMP, formulations for ECMP may not need an oxidant. Organic additives, especially inhibitors used to control planarity (i.e. to protect recessed regions), need to be stable under applied anodic potential. To have a high current efficiency, the applied current should not induce decomposition of the formulations. In addition, to enable clearing of the copper film, the interactions between multiple exposed materials (barrier material as well as copper) must be considered. Development of a full sequence ECMP process would require the removal of the barrier layer as well. Chemical systems that exhibit a 1:1 selectivity between the barrier layer and copper would be ideal for the barrier removal step of ECMP. The main goal of this research is to investigate the chemistries suitable for ECMP of copper and tantalum films. Copper was electroplated onto the gold electrode of quartz crystals, and its dissolution/passivation behavior in hydroxylamine solutions was studied at different applied potential values. The dissolution rate of copper is pH dependent and exhibits a maximum in the vicinity of pH 6. Copper dissolution increases with respect to overpotential (η) and dissolution rates as high as 6000 Å/min have been obtained at overpotential of 750mV. While both benzotriazole (BTA) and salicylhydroxamic acid (SHA) serve as good inhibitors at lower overpotentials, their effectiveness decreases at higher overpotentials. A fundamental study was undertaken to evaluate the usefulness of a sulfonic acid based chemical system for the removal of tantalum under ECMP conditions. Tantalum as well as copper samples were polished at low pressures (~0.5 psi) under galvanostatic conditions in dihydroxy benzene sulfonic acid (DBSA) solutions maintained at different pH values. At a current density of 0.5 mA/cm² and a pH of 10, tantalum removal rate of 200 Å/min with a 1:1 selectivity to copper was obtained in 0.3M DBSA solutions containing 1.2M H₂O₂. The presence of a small amount (~ 0.1%) of colloidal silica particles was required to obtain good removal rates. A comparison of DBSA and methane sulfonic acid (MSA) based chemical system was studied for the removal of tantalum. The performance of DBSA is better than that of MSA. Additionally, DBSA solution has been used for tantalum nitride removal under ECMP conditions. However, DBSA is not as effective for tantalum nitride as it is for tantalum. Polishing of the patterned test structure in optimized solution containing 0.01M BTA results in complete removal of barrier layer and surface planarity is achieved.
APA, Harvard, Vancouver, ISO, and other styles
33

Tamilmani, Subramanian. "Dissolution, corrosion and environmental issues in chemical mechanical planarization of copper." Diss., The University of Arizona, 2005. http://hdl.handle.net/10150/280774.

Full text
Abstract:
Chemical mechanical polishing (CMP) of dielectric and metal films has become a key process in manufacturing devices with ultra large scale integration (ULSI). In a CMP process, planarization is achieved by polishing a wafer with uneven topography using colloidal slurry consisting of sub-micron sized abrasive particles, oxidant and various additives. Hydrogen peroxide and hydroxylamine are commonly used oxidants in copper CMP process. To achieve planarization, the low lying areas have to be protected while the higher areas are polished away. This requires low static dissolution rate of copper in low areas. Another major issue in copper CMP is galvanic corrosion during barrier polishing step where both copper and the barrier metal are exposed to the slurry. The main goal of the research reported in this dissertation is to understand the dissolution and corrosion issues during the removal of copper in hydroxylamine based chemistries. Electrochemical and physical methods such as profilometry were used to obtain copper removal rates. Among the variety of organic compound tested, benzotriazole and salicylhydroxamic acid were identified as potential corrosion inhibitors for copper. The passive film formed on the copper surface by the addition of benzotriazole and salicylhydroxamic acid was characterized by X-ray photoelectron spectroscopy and atomic force microscopy. The passivation and repassivation kinetics were investigated in detail and a passivation mechanism for copper in hydroxylamine in the presence of benzotriazole and salicylhydroxamic acid chemistries is proposed. Copper removal experiments were performed on a specially designed electrochemical abrasion cell (EC-AC) in the presence and absence of inhibitors. The effect of anodic potentials on the dissolution of copper in various chemistries was studied to identify suitable conditions for electro-chemical mechanical planarization process. The extent of galvanic corrosion between copper and tantalum was estimated using electrochemical polarization measurements. A novel setup was designed to make direct measurement of the galvanic current between copper and tantalum and was successfully used to measure galvanic current in various chemical systems. CMP and post CMP cleaning operations account for almost twenty five percent of the total water usage at semiconductor fabrication plants. The waste water has to be treated to remove copper and unused oxidants and organic additives before it can be recycled or disposed. Fundamental studies on the treatment of copper CMP waste water using boron doped diamond electrodes was performed. The feasibility of copper deposition and organic oxidation was established and a design for a novel reactor is proposed.
APA, Harvard, Vancouver, ISO, and other styles
34

Xie, Xiaolin Ph D. Massachusetts Institute of Technology. "Physical understanding and modeling of chemical mechanical planarization in dielectric materials." Thesis, Massachusetts Institute of Technology, 2007. http://hdl.handle.net/1721.1/45403.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Physics, 2007.
Includes bibliographical references (p. 257-268).
Chemical mechanical planarization (CMP) has become the enabling planarization technique of choice for current and emerging silicon integrated circuit (IC) fabrication processes. This work studies CMP in dielectric materials in particular, which is widely used in device formation for isolation and in interconnect formation for dielectric planarization. The physical understanding of the process is essential for CMP tool engineers to design optimal consumables, for circuit engineers to make the layout design manufacturing friendly and for process engineers to better control the process. The major contributions of this work are a framework to study the physics of CMP and physically-based particle-level and die-level models of polishing and planarization. A framework for studying the physics of CMP is established by analyzing the complex system and decoupling the interactions occurring at different scales. A particle- level CMP model is developed that bridges the microscopic polishing mechanisms to the macroscopic properties of the system. A physically-based die-level model is proposed by explicit modeling of the pad and pad surface asperities, with model parameters that are based on the physical properties of the pad rather than purely fitting parameters. A semi-empirical die-level CMP model, motivated by the new physically-based die-level model, is developed that improves upon previous pattern density step-height models by making realistic assumptions and approximations, and improving the ease of computation. The model is applied to simulate polishing of either single- material or dual-material structures with either conventional or non conventional slurries. The die-level models are then applied to engineering problems, including design for manufacturing, nanotopography impact, wafer edge roll-off effects, and motor current based endpoint detection.
by Xiaolin Xie.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
35

Ng, Grace Siu-Yee 1980. "Effect of chemical mechanical planarization processing conditions on polyurethane pad properties." Thesis, Massachusetts Institute of Technology, 2003. http://hdl.handle.net/1721.1/43618.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2003.
Includes bibliographical references (leaves 58-59).
Chemical Mechanical Planarization (CMP) is a vital process used in the semiconductor industry to isolate and connect individual transistors on a chip. However, many of the fundamental mechanisms of the process are yet to be fully understood and defined. The difficulty in analyzing the CMP process lies in the fact that many factors, such as properties of consumables, polishing speed, polishing pressure, etc, can affect the outcome of the CMP process. This paper focuses on the thermal and mechanical properties of one of the consumables - the CMP soft pad. During the CMP process, the pad is subjected to high temperatures and chemicals from the slurry. Thus, the properties of the pad can be irreversibly changed, affecting the planarity of the resultant wafer. In this study, the CMP processing conditions were simulated in the laboratory by annealing the pad at high temperatures and soaking the pad in slurry and DIW for up to two months. The properties of the CMP pad were then measured using four thermo analytical tools - dynamic mechanical analyzer (DMA), thermo-gravimetric analyzer (TGA), thermomechanical analyzer (TMA), and modulated differential scanning calorimeter (MDSC). Results suggested that both annealing at temperatures above 140 °C and soaking in slurry for up to two weeks significantly increase the storage modulus of the sample and promote pad shrinkage in the transverse dimension. Thus, it is not recommended that the soft pad be used at operating temperatures above 140 °C and for polishing times of more than two weeks (336 hrs).
by Grace Siu-Yee Ng.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
36

Wu, Changhong. "Control of slurry flow, temperature and aggressive diamonds in chemical mechanical planarization." Thesis, The University of Arizona, 2015. http://pqdtopen.proquest.com/#viewpdf?dispub=3701786.

Full text
Abstract:

This dissertation presents a series of studies related to the study and control of slurry flow, process temperature, and aggressive diamonds in Chemical Mechanical Planarization (CMP). The purpose of these studies is to better understand the fundamentals of CMP and to explore solutions to some of CMP’s greatest challenges.

Within-wafer removal rate non-uniformity (WIWRRNU) is a critical parameter to determine film thickness planarity on a wafer-scale level and it grossly impacts yield. Resolving this issue continues to be an area of intense focus in the industry. The first study in this dissertation shows the feasibility of adopting a new method to improve WIWRRNU during copper CMP that is solely based on intentional local temperature manipulation of the pad. A pad surface thermal management system is developed to locally change pad surface temperature. This system consists of one or more thermal transfer modules contacting the pad surface. In this study, the system is employed to adjust the “center-fast” copper removal rate profile to illustrate its effect during the process. Results shows that, when two thermal transfer modules are employed, local removal rates in the wafer center region decrease significantly while maintaining the removal rates near the wafer edge thereby significantly improving WIWRRNU.

Another contribution of this dissertation is the investigation of the effect of pad groove design on slurry injection scheme during interlayer dielectric CMP. A novel slurry injector with multiple slurry outlets is designed, which provides optional slurry injection schemes (i.e. one injection point scheme and multi-injection point scheme). These schemes are compared with the standard slurry application method on a concentrically grooved pad and an xy-groove pad, respectively. On the concentrically grooved pad, the one injection point scheme generates significantly higher oxide removal rates (ranging from 22 to 35 percent) compared to the standard slurry application method at different slurry flow rates. On the xy-groove pad, the one injection point scheme still results in higher removal rates (ranging from 3 to 9 percent), however, its removal rate enhancement is not as high as that of the concentrically grooved pad. In order to further improve slurry availability on the xy-groove pad, the multi-injection point scheme is tested. Results show that the multi-injection point scheme results in significantly higher removal rates (ranging from 17 to 20 percent) compared to the standard slurry application method. This work underscores the importance of optimum slurry injection schemes for accommodating particular groove designs.

The last contribution of this dissertation involves a study regarding aggressive diamond characterization and wear analysis during CMP. A 3M A3700 diamond disk is used to condition a Cabot Microelectronics Corporation (CMC) D100 pad for 30 hours. The top 20 aggressive diamonds for two perpendicular disk orientations are identified before the polishing, as well as after 15- and 30-hour polishing. The furrow surface area generated by these top 20 aggressive diamonds and their evolution are analyzed and compared. Results show that the original top 20 aggressive diamonds identified before polishing are subjected to wear after the first 15-hour polishing as the furrow surface area that they generate decreases dramatically (by 47%). As these original aggressive diamonds are worn, seven new aggressive diamonds are “born” and join the new top 20 list for both disk orientations. After the second 15-hour wafer polishing, the furrow surface area of these new top 20 aggressive diamonds do not change significantly. The furrow surface area created by all the active diamonds exhibits the same trend as the top 20 aggressive diamonds, confirming that most pad conditioning work is performed by these aggressive diamonds and that the disk loses its aggressiveness in the first 15 hours of polishing and then maintains its aggressiveness during the second 15 hours, albeit to a lesser extent.

APA, Harvard, Vancouver, ISO, and other styles
37

Karra, Pavan K. "Modeling and control of material removal and defectivity in chemical mechanical planarization." [Ames, Iowa : Iowa State University], 2009. http://gateway.proquest.com/openurl?url_ver=Z39.88-2004&rft_val_fmt=info:ofi/fmt:kev:mtx:dissertation&res_dat=xri:pqdiss&rft_dat=xri:pqdiss:3355516.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Jiao, Yubo. "Wear and Contact Phenomena in Existing and Future Large-Scale Chemical Mechanical Planarization Processes." Diss., The University of Arizona, 2012. http://hdl.handle.net/10150/223313.

Full text
Abstract:
This dissertation presents a series of studies with regards to wear and contact phenomena in existing and future large-scale chemical mechanical planarization (CMP). They are also evaluated with the purposes of reducing cost of ownership (COO) and minimizing environmental impacts. The first study is performed to investigate the effect of retaining ring slot design, material and temperature on pad wear during interlayer dielectric CMP. During polishing, friction is generated at the retaining ring-pad interface resulting in pad wear. Three retaining rings are used in this study and the results show that the retaining ring material and temperature have significant impacts on the pad wear rate. In the next study, a custom-made sample holder is designed to heat pad sample. Pad surface contact area and density are measured at three different temperatures using laser confocal microscopy to illustrate the effect of temperature on the mechanical contact during CMP. In the third study, the tribological, thermal and kinetic attributes of 300 mm copper CMP process are investigated. The current state-of-the-art IC manufacturing factories have migrated from 200 mm wafer processes to 300 mm to reduce manufacturing COO and increase throughput. In this study, a two-step modified Langmuir-Hinshelwood model is used to simulate copper removal rate as well as chemical and mechanical dominance during CMP. The fourth study evaluates the relationship between planarization and pad surface contact area and micro-topography using laser confocal microscopy. Results of confocal microscopic analysis are correlated with polishing performance in terms of coefficient of friction, removal rate, time to clear, dishing and erosion. As Ti has recently regained attention in copper barrier applications, the effect of temperature during Ti CMP is investigated in another study to provide fundamental understanding of Ti removal mechanism. The last contribution of this dissertation involves a study on 450 mm CMP process. An existing 300 mm CMP tool is modified to polish both 300 and 450 mm wafers to demonstrate experimentally whether any differences exist in the tribological and thermal characteristics of the two processes, and from that, to infer whether one can expect any removal rate difference between the two systems.
APA, Harvard, Vancouver, ISO, and other styles
39

DeNardis, Darren. "Evaluation and Modeling of Alternative Copper and Inter-Layer Dielectric Chemical Mechanical Planarization Technologies." Diss., The University of Arizona, 2006. http://hdl.handle.net/10150/195642.

Full text
Abstract:
The novel consumables studied were abrasive-free copper CMP slurries and high-pressure micro jet technology as an alternative to diamond pad conditioning. Abrasive-free slurries were found to be effective in copper removal and were shown to demonstrate similar removal rate and coefficient of friction (COF) trends as conventional abrasive slurry CMP, while possibly decreasing wafer defects. Fundamental information from the friction spectrum indicated that the periodicity of the cyclic passivation layer formation and removal in copper CMP may be on the order of 10 milliseconds. HPMJ technology was found to be a possible alternative to diamond conditioning with some decrease in removal rate.A controlled atmosphere polishing (CAP) system was used and demonstrated that gaseous additives can feasibly be introduced real-time during a polish. Addition of complexing agents were found to increase removal rates, however it was found that direct etching of copper oxide on the copper surface was not the primary mechanism responsible for removal rate increases during CMP with low oxidant concentrations. Alternatively, it was found that direct etching of the copper oxide is significant in systems containing much higher oxidant concentrations, 1 wt% hydrogen peroxide for example. It was for this reason that a third removal step, chemical dissolution, was added to the two-step removal rate model.The remainder of the work in this dissertation was concerned with characterizing and modeling the copper oxidation and copper oxide dissolution steps of the three-step model separately and applying the appropriate expressions into the CMP removal rate model. The copper oxidation process was found to demonstrate oxide growth, or passivation behavior, at pH of 5 and higher. The oxide growth process was governed by oxidized copper migration through the oxide film. The copper oxide dissolution process was controlled by dissolution of the complexing agent through a dissolution byproduct film. These steps were characterized and applied to the three-step removal rate and predicted removal rate data quite well with one fitting parameter that varied within one order of magnitude. Two real-time experimental measurements, COF and leading pad temperature, can be input into the model to predict removal rates during a polish.
APA, Harvard, Vancouver, ISO, and other styles
40

Mau, Catherine (Catherine K. ). "Control of wafer-scale non-uniformity in chemical-mechanical planarization by face-up polishing." Thesis, Massachusetts Institute of Technology, 2008. http://hdl.handle.net/1721.1/45202.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2008.
Includes bibliographical references (leaves 132-135).
Chemical-mechanical planarization (CMP) is a key process in the manufacture of ultra-large-scale-integrated (ULSI) semiconductor devices. A major concern in CMP is non-uniform planarization, or polishing, at the wafer-scale - primarily as interconnect metal dishing and dielectric erosion. In conventional face-down CMP, the pad is much larger than the wafer and the wafer is always in contact with the pad. Thus, non-uniform polishing rate at the wafer-scale is due to variations in relative velocity, normal pressure, and especially slurry distribution at the wafer/pad interface. Wafer-scale polishing uniformity requirements are expected to be even more stringent in the future as the ULSI technology advances toward larger wafers (450 mm) and ever shrinking feature sizes (< 20 nm). This thesis presents the theory and experimental validation of a novel, face-up CMP architecture proposed for achieving a high degree, better than 95 percent of polishing uniformity at the wafer-scale. The novel design utilizes a small, perforated pad that contacts only a portion of the wafer during CMP. Polishing uniformity is achieved by progressively translating the pad away from the polished to the unpolished regions of the wafer. The theory is based on Preston's Law for material removal rate and an optimal algorithm for pad translation. CMP experiments were conducted on both blanket and patterned wafers to validate the theory. Polishing of blanket wafers by non-translating pads showed that the Preston constant is higher at the center of the pad due to increased slurry flow. Thus, perforations at the pad center were blocked to minimize the variation in Preston constant. Face-up polishing of patterned wafers with the blocked pad showed improved wafer-scale uniformity in material removal rate.
(cont.) Dielectric erosion was below 30 nm, less than 5 percent of the interconnect depth, across a 100-mm circular polished region. However, dishing of the wider interconnects was much greater. Nevertheless, the variation in dishing across the 100 [mu]m region was less than 35 nm for linewidths ranging from 2.5 [mu]m to 100 [mu]m , also less than 5 percent. Based on the theory and experimental results, several suggestions for further improving face-up CMP to minimize Cu dishing and dielectric erosion are offered.
by Catherine Mau.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
41

Bahr, Matthew, and Matthew Bahr. "Methods for Efficient Slurry Utilization and Tribological Stability Analysis in Chemical Mechanical Planarization." Thesis, The University of Arizona, 2017. http://hdl.handle.net/10150/624109.

Full text
Abstract:
This thesis presents a series of studies pertaining to tribological, thermal, kinetic and slurry utilization aspects of chemical mechanical planaraization processes. The purpose of this work is to both develop a better method of characterizing the tribological mechanisms during polishing, as well as propose methods by which slurry utilization efficiency can be increased in order to minimize environmental hazards and operational costs associated with polishing without compromising the desired polish outcomes. The first study was conducted using a modified version of the generic Stribeck curve using real-time shear and down force data collection at 1,000 Hz. This investigation served to provide a better understanding of the tribological and thermal mechanisms associated with polishing copper and tungsten blanket wafers on an industrially relevant soft pad. A multitude of gradual yet continuous changes in sliding velocity and polishing pressure were applied during polishing. Results indicated that polishing on the soft pad produced stable coefficient of friction (COF) values entirely within the "boundary lubrication" regime, while copper polishing on a hard pads produced a tremendous spread of data and resulted in both “boundary lubrication” and "mixed lubrication" regimes. In addition, the average pad surface temperature showed a linear relationship with the product of the COF, sliding velocity, and downward pressure for all copper and tungsten polishes on both soft and hard pads. Another study in this thesis investigated slurry availability and the extent of slurry mixing for three different slurry injection schemes. An ultraviolet enhanced fluorescence technique was employed to qualitatively measure slurry film thicknesses atop the pad surface during polishing. This study investigated standard pad-center point slurry dispensing and a slurry injection system (SIS) that covered only the outer half of the wafer track. Results indicated that the radial position of slurry injection and fluid interactions with the SIS greatly influenced slurry mixing and availability atop the pad. Silicon dioxide removal rates were also found to increase as slurry availability increased. Using a combination of standard pad-center slurry dispensing and a half-wafer track SIS resulted in similar silicon dioxide removal rates as standard pad-center slurry dispensing but at a 40% lower slurry flowrate. The final study in this thesis investigated the effects of ultrapure (UPW) water dilution of a ceria-based slurry on silicon dioxide removal rates. Results showed that pre-mixing the slurry and UPW increased the removal rate with dilution up to a slurry to UPW ratio of 1:7.5 due to the increasing presence of Ce3+ via the reduction of Ce4+ by UPW. Further dilution yielded a plateau in the removal rate trend as additional UPW reduced the coefficient of friction (COF) and the temperature during polishing, causing the benefits of increased ceria-silica binding to be offset by mechanical limitations. Mixing the slurry directly at point-of-use at the dispense nozzle resulted in a removal rate trend that was highly similar to pre-mixing, however, removal rates were higher at every dilution ratio. A novel slurry injection system (SIS) was employed at various rotation angles as measured from the leading edge. The SIS angles produced different retaining ring bow wave thicknesses, which led to varying extents of dilution and, by extension, removal rates. The SIS at -8° produced the highest removal rates of all angles. A third dilution ratio test was performed using point-of-use mixing through the SIS at the optimum angle of -8°, which resulted in a similar removal rate trend as pre-mixing and pad-center dispense point-of use mixing, but with dramatically higher removal rates at each dilution ratio. The ability to attain higher removal rates could potentially allow integrated circuit (IC) manufacturers to either reduce polishing times or reduce slurry consumption, subsequently reducing slurry waste and creating a more environmentally benign semiconductor manufacturing process.
APA, Harvard, Vancouver, ISO, and other styles
42

Han, Ruochen, and Ruochen Han. "Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method." Diss., The University of Arizona, 2017. http://hdl.handle.net/10150/625663.

Full text
Abstract:
The first part of our study introduces a new method for rapidly generating an "improved" Stribeck curve (i.e. Stribeck+ curve) that, compared to traditional Stribeck curves, shows a more complete tribological picture of the chemical mechanical planarization (CMP) process. The method significantly reduces the consumables and time required to obtain the curve compared to traditional means. Results of the Stribeck+ curve are consistent with individual tests using several different consumables combinations. All copper CMP Stribeck+ examples clearly indicate the lubrication mechanism and transitions thereof between different polishing conditions. Variability in COF as well as a much wider range in U/P are also explored. In the second part of our study, the Stribeck+ curve is successfully applied to silicon dioxide CMP processes to characterize the tribology of such processes under different process conditions and consumables. Results show our Stribeck+ curve methodology to be capable of rapidly determining and differentiating the tribological mechanism among all cases studied. The Stribeck+ curve helps indicate process stability as shown by the spread of the COF vertical clusters. The Stribeck+ curve also confirms a previously known effect that the greater the ratio of pad’s up-features to the total pad area, the greater the probability of wafer hydroplaning. As the third part of our study, we investigate the effect of different pad surface micro-textures on the tribological, thermal and kinetic attributes during copper CMP. Different micro-textures are generated by two different chemical vapor deposited (CVD) diamond-coated conditioner discs (i.e. Disc A and Disc B). Results show that while pad temperature and removal rate increase with polishing pressure and sliding velocity on both discs, Disc B generates consistently lower removal rates and COF than Disc A. To fundamentally elucidate the cause(s) of such differences, pad surface contact area and topography are analyzed using laser confocal microscopy. The comparison of the pad surface micro-texture analysis indicates that Disc A causes a pad surface with a smaller abruptness (λ) and much more solid contact area which results in a higher removal rate. In contrast, Disc B generates less contact areas and COF. A two-step modified Langmuir–Hinshelwood model is employed to simulate copper removal rates as well as chemical and mechanical rate constants. The simulated chemical to mechanical constant ratios indicate that Disc A produces a more mechanically limited process under all conditions tested. In the fourth part of our study, the position of a slurry injection system (SIS) is optimized to achieve a more cost-effective and environmentally benign CMP process using a widely-adopted ceria-based "reverse slurry". Here, SIS is configured with different angles in order to investigate slurry dilution characteristics caused by residual pad rinsing with ultrapure water (UPW) that is known to affect silicon dioxide removal. UPW dilution effect on removal rate, coefficient of friction and pad surface temperature is explained by maintaining a constant dilution ratio for each of the SIS configuration tests. Results indicate that SIS negative rotation angles increase the actual slurry dilution ratio on top of the polishing pad. This generates more Ce3+ which boosts removal rates. Application of negatively rotated SIS allows significantly lower slurry flow rates and/or shorter polishing times leading to more environmental friendly semiconductor manufacturing processes. Finally, it is confirmed that variations in SIS configuration has no impact on silicon dioxide to silicon nitride removal rate selectivity. In the fifth and final part of our study, the silicon dioxide removal rate using a "reverse" ceria-based slurry is investigated under four different combinations of conditioning modes and slurry application methods. In a “reverse” slurry, addition of water acts to promote material removal. Overall, the process using ex-situ conditioning with the SIS results in the highest removal rate, while the process using in-situ conditioning with the conventional point application (PA) generates the lowest removal rate. This study explains the differences in silicon dioxide removal rate based on the variations of the actual slurry dilution ratio on the pad associated with conditioning and slurry application methods. Frictional analysis and Stribeck+ curves are employed to elucidate the tribological characteristics. Results show that the conditioning modes and the slurry application methods vary the extent of the polishing vibrations. Silicon dioxide removal rate is found to linearly correlate with the extent of COF fluctuation. The work underscores the importance of optimum slurry flow dynamics and injection geometry to obtain a more cost-effective and environmentally benign CMP process.
APA, Harvard, Vancouver, ISO, and other styles
43

Kumar, Akhauri Prakash. "Agent based diagnostic system for the defect analysis during chemical mechanical polishing (CMP)." Heimsheim Jost-Jetter, 2005. http://deposit.d-nb.de/cgi-bin/dokserv?idn=976561247.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Liao, Xiaoyan. "Process Optimization and Fundamental Consumables Characterization of Advanced Dielectric and Metal Chemical Mechanical Planarization." Diss., The University of Arizona, 2014. http://hdl.handle.net/10150/323377.

Full text
Abstract:
This dissertation presents a series of studies related to the characterization and optimization of consumables during Chemical Mechanical Planarization (CMP). These studies are also evaluated with the purpose of reducing the cost of ownership as well as minimizing the potential environmental impacts. It is well known that pad-wafer contact and pad surface micro-structure have significant impacts on polishing performance. The first study in this dissertation investigates the effect of pad surface contact and topography on polishing performance during copper CMP. Two different types of diamond discs (3M A2810 disc and MMC TRD disc) are used to condition the polishing pad. Pad surface contact area and topography are analyzed using laser confocal microscopy and scanning electron microscopy (SEM) to illustrate how variations in pad surface micro-texture affect the copper removal rate and the coefficient of friction (COF). Polishing results show that the 3M A2810 disc generates significantly higher COF (16%) and removal rate (39%) than the MMC TRD disc. Pad surface analysis results show that the 3M A2810 disc and MMC TRD disc generate similar pad surface height probability density function and pad surface abruptness. On the other hand, the MMC TRD disc generates large flat near contact areas that correspond to fractured and collapsed pore walls while the 3M A2810 disc generates solid contact area and clear pore structures. The fractured and collapsed pore walls generated by the MMC TRD disc partly cover the adjacent pores, making the pad surface more lubricated during wafer polishing and resulting in a significantly lower COF and removal rate. In the next study, the individual "large" pad surface contact areas are differentiated from the "small" contact areas and their role in copper CMP is investigated. Surface topography and the structure of a typical individual large contact area are examined via laser confocal microscopy and SEM. In addition, the Young's Modulus of the pad surface material is simulated. A case study is presented to illustrate the role of the individual large contact area of IC1000 K-groove pad in copper CMP. SEM analysis shows that the individual large pad surface contact areas are induced by fractured pore walls and loosely attached pad debris. Simulation results indicate that individual large contact areas correspond to very low values of the Young's modulus (about 50 MPa). Such low values indicate that the pad material is soft and the summit underlying the individual large contact is not fully supported. As a result, individual large contact area implies low contact pressure and may contribute little to removal rate. Case study results confirm that the individual large contact area has minimal contribution to removal rate and indicate that the removal rate is mainly caused by small individual contact areas. In our case, small contact areas correspond to those smaller than 9 square microns. We believe that this methodology can be also applied for other kinds of pad, although the threshold values that may define "small" and "large" individual contact areas for different pads and processes need to be further investigated. In the third study, the effect of pad surface micro-texture in interlayer dielectric CMP is also investigated. Blanket 200-mm oxide wafers are polished and the polishing pad is conditioned under two different conditioning forces (26.7 and 44.5 N). Results show that when conditioning force is increased from 26.7 to 44.5 N, oxide removal rate increases by 65% while COF increases by only 7%. Pad surface contact area and topography are measured and analyzed to illustrate their effects on the oxide removal rate. While the two conditioning forces generate similar pad surface abruptness, pad surface contact area is significantly lower (by 71%) at the conditioning force of 44.5 N. Such dramatic decrease in pad surface contact area leads to a significant increase in local contact pressure and therefore results in a significant increase in oxide removal rate. The oxide removal rate and local contact pressure exhibits a Prestonian relationship. Besides the above studies on the effect of the pad surface micro-texture during blanket wafer polishing, the fourth study investigates how pad micro-texture affects dishing and erosion during shallow trench isolation (STI) patterned wafer polishing. Two different types of diamond discs (3M A2810 disc and MMC TRD disc) are used to condition the pad during wafer polishing. Dishing and erosion analysis for the patterned wafer polishing is performed using a surface profiler. To illustrate the effect of pad surface micro-texture on dishing and erosion, pad surface abruptness and mean pad summit curvature are analyzed using laser confocal microscopy. Polishing results show that the two discs generate similar blanket wafer removal rates, while the MMC TRD disc generate significantly higher dishing and erosion than the 3M A2810 disc during patterned wafer polishing. Results of pad surface micro-texture analysis show that the MMC TRD disc generates sharper asperities with higher mean pad summit curvature than the 3M A2810 disc, resulting in higher dishing and erosion. Another contribution of this dissertation is the development of a slurry film thickness quantification technique using ultraviolet-enhanced fluorescence. The technique is developed to measure slurry film thickness at any location of interest. In the next study of this dissertation, this new technique is applied to determine how two different slurry application/injection schemes (standard pad center area application method and novel slurry injection system) along with various polishing conditions such as sliding velocity, ring pressure and slurry flow rate affect slurry availability in the bow wave region of the polisher. For the standard pad center area application method, slurry is directly applied onto the pad center area and a large amount of fresh slurry flow directly off the pad surface without flowing to the pad-retaining ring interface due to the centrifugal forces. For the novel slurry injection system, slurry is introduced through an injector that is placed adjacent (<3 cm) to the retaining ring on the pad surface. Such a close distance between the injector and retaining ring allows most of the fresh slurry to be delivered efficiently to the leading edge of the retaining ring after it is injected onto the pad surface. Results show that the novel slurry injection system generates consistently thicker bow waves (up to 104 percent) at different sliding velocities, slurry flow rates and ring pressures, therefore providing more slurry availability for the pad-retaining ring interface and potentials for slurry consumption reduction in CMP processes. First order calculations yield estimates of slurry savings associated with the novel slurry injection system ranging between 8 and 48 percent depending on specific process conditions. In the last study of this dissertation, the effect of retaining ring slot design and polishing conditions on slurry flow dynamics at the bow wave is investigated. The ultraviolet-enhanced fluorescence technique is employed to measure the slurry film thickness at the bow wave for two retaining rings with different slot designs. Multiple sliding velocities, slurry flow rates and ring pressures are investigated. Results show that the retaining ring with the sharp angle slot design (PEEK-1) generates significantly thicker (on average 48%) slurry films at the bow wave than PEEK-2 which has a rounded angle slot design. For PEEK-1, film thickness at the bow wave increases with the increasing of flow rate and ring pressure and decreases with the increasing of sliding velocity. On the other hand, film thickness at bow wave does not change significantly for the PEEK-2 ring at different polishing conditions indicating an apparent robustness of the PEEK-2 design to various operating conditions. With retaining rings having different designs, and all else being the same, a thinner bow wave is preferred since it is indicative of a ring design that allows more slurry to flow into the pad-wafer interface. Therefore, the work underscores the importance of optimizing retaining ring slot design and polishing conditions for efficient slurry utilization.
APA, Harvard, Vancouver, ISO, and other styles
45

Molines, Colomer Raul. "Evaluation of Chemical Mechanical Planarization Capability of Titan™ Wafer Carrier on Silicon Oxide." Thesis, KTH, Skolan för informations- och kommunikationsteknik (ICT), 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-207088.

Full text
Abstract:
Chemical mechanical polishing (CMP) has emerged as a critical technique for the manufacture of complex integrated circuits to achieve low surface roughness and high degree of planarization. In particular, the continuous progression of the wafer carrier has been driven by the interest of diminishing the waste on a wafer by reducing the edge of exclusion area, and hence, increasing the amount of chips per wafer. In this thesis,a standard wafer carrier and the state of the art Titan™ wafer carrierare compared and evaluated by planarizing a set of blank wafers with a PECVD oxide film on an IPEC 472 CMP tool. The surface roughness was analyzed before and after the planarization step using an atomic force microscope (AFM) and the nonuniformity across the wafer was characterized by ellipsometry. The material removal rate and the reproducibility of the nonuniformity from wafer to wafer was also observed and compared. A second set of experiments with patterned wafers pla-narized with the Titan™ carrier was also performed. The impact of thepattern density in the step height reduction ratio and surface roughness was analyzed with AFM. The results obtained from the blank wafers planarized with the standard wafer carrier showed a nonuniformity average of ± 6.96% with a 3 mm edge of exclusion, a wafer to wafer nonuniformity of ± 4% and a surface roughness of 0.34 nm. However, the Titan™ carrier delivered a nonuniformity average of ± 2.17%, a wafer to wafer nonuniformity of ± 0.3% and a surface roughness of 0.22 nm. The Titan™ carrier outmatched the standard wafer carrier forcing it to shift the edge of exclusion area to 7mm to be able to achieve a nonuniformity of ± 2.90%. The results for the set of patterned wafers showed a step height reduction ratio (SHRR) average of 98.35%. Thesurface roughness for the oxide above the patterned polysilicon structures decreased from 9.46 nm to 0.33nm and the surface roughness on the recessed areas decreased from 3.70nm to 0.7nm.
APA, Harvard, Vancouver, ISO, and other styles
46

Bonivel, Joseph T. Jr. "Consumable Process Development for Chemical Mechanical Planarization of Bit Patterned Media for Magnetic Storage Fabrication." Scholar Commons, 2010. http://scholarcommons.usf.edu/etd/3573.

Full text
Abstract:
As the superparamagnetic limit is reached, the magnetic storage industry looks to circumvent the barrier by implementing patterned media (PM) as a viable means to store and access data. Chemical mechanical polishing (CMP) is a semiconductor fabrication technique used to planarize surfaces and is investigated as a method to ensure that the PM is polished to surface roughness parameters that allow the magnetic read/write head to move seamlessly across the PM. Results from this research have implications in feasibility studies of utilizing CMP as the main planarization technique for PM fabrication. Benchmark data on the output parameters of the CMP process, for bit patterned media (BPM), based on the machine process parameters, pad properties, and slurry characteristics are optimized. The research was conducted in a systematic manner in which the optimized parameters for each phase are utilized in future phases. The optimum results from each of the phases provide an overall optimum characterization for BPM CMP. Results on the CMP machine input parameters indicate that for optimal surface roughness and material removal, low polish pressures and high velocities should be used on the BPM. Pad characteristics were monitored by non destructive technique and results indicate much faster deterioration of all pad characteristics versus polish time of BPM when compared to IC CMP. The optimum pad for PM polishing was the IC 1400 dual layer Suba V pad with a shore hardness of 57, and a k-groove pattern. The final phase of polishing evaluated the slurry polishing properties and novel nanodiamond (ND) slurry was created and benchmarked on BPM. The resulting CMP output parameters were monitored and neither the ND slurry nor the thermally responsive polymer slurry performed better than the commercially available Cabot iCue slurry for MRR or surface roughness. Research results indicate CMP is a feasible planarization technique for PM fabrication, but successful implementation of CMP for planarizing PM must address the high initial start up cost, increase in the number of replacement pads, and increase in polishing time to reach the required surface roughness for magnetic storage devices.
APA, Harvard, Vancouver, ISO, and other styles
47

Manocha, Chhavi. "Chemical Mechanical Planarization: Study of Conditioner Abrasives and Synthesis of Nano-Zirconia for Potential Slurry Applications." [Tampa, Fla] : University of South Florida, 2008. http://purl.fcla.edu/usf/dc/et/SFE0002741.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Rosales-Yeomans, Daniel. "Evaluation and Modeling of Novel Groove Pad Designs on Inter-layer Dielectric and Copper Chemical Mechanical Planarization." Diss., The University of Arizona, 2007. http://hdl.handle.net/10150/194505.

Full text
Abstract:
The present dissertation includes several studies that describe the effects of novel groovedesigns on the tribological, thermal and kinetic characteristics of ILD and copper CMP. A novelIPL-FMC 200-mm polisher, in which friction force could be obtained in two directions, was introduced and compared to lab-scale (IPL 100-mm) polisher during ILD CMP. Results showed that scaling the ILD process from 100 to 200 mm caused a transition from a mechanically-limited regime, in which it was still possible to detect thermal effects, to a higher degree of mechanical limitation where it was no longer possible to detect thermal effects.Other studies in this dissertation were related to the evaluation and modeling of novel groove designs for copper CMP optimization. Novel groove designs were divided into two groups: (1) Logarithmic-Spiral and (2) Concentric Slanted. These novel groove designs were evaluated under several operating conditions, such as wafer load, sliding velocity and slurry flow rate. This work resulted in the identification of one novel groove design from each group, which resulted in high Copper RR. The observed RR behavior was attributed to two possible scenarios. Firstly, it was believed that these novel groove designs produced a more effective control of the transport of slurry into, and the discharge of spent slurry and debris out of, the pad-wafer interface. Secondly, the variations in slurry film thickness at the pad-wafer interface generated by the different groove designs evaluated, appeared to affect the degree of contact between the pad and the wafer; hence the mechanical (pad asperities-wafer contact) and chemical(rise in temperature) contributions of the system. A novel 3-Step copper removal model wasapplied to copper CMP. The model predicted remarkably well the removal rate behavior during copper polishing for different pad groove designs. The model allowed us to perform an analysis of the effect of groove designs on the chemical and mechanical contribution of the system.
APA, Harvard, Vancouver, ISO, and other styles
49

Nishimoto, Angie Shizue 1977. "Assessment of an infrared camera for use as a control sensor for the chemical mechanical planarization process." Thesis, Massachusetts Institute of Technology, 1999. http://hdl.handle.net/1721.1/80107.

Full text
Abstract:
Thesis (S.B. and M.Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1999.
Includes bibliographical references (leaf 40).
by Angie Shizue Nishimoto.
S.B.and M.Eng.
APA, Harvard, Vancouver, ISO, and other styles
50

Stewart, Karen Lynn. "Copper surface chemistry relevant to chemical mechanical planarization (CMP) /." 2008. http://gateway.proquest.com/openurl?url_ver=Z39.88-2004&rft_val_fmt=info:ofi/fmt:kev:mtx:dissertation&res_dat=xri:pqdiss&rft_dat=xri:pqdiss:3337930.

Full text
Abstract:
Thesis (Ph. D.)--University of Illinois at Urbana-Champaign, 2008.
Source: Dissertation Abstracts International, Volume: 69-11, Section: B, page: 6761. Adviser: Andrew A. Gewirth. Includes bibliographical references. Available on microfilm from Pro Quest Information and Learning.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography