To see the other types of publications on this topic, follow the link: Chemical mechanical planarization (CMP).

Journal articles on the topic 'Chemical mechanical planarization (CMP)'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Chemical mechanical planarization (CMP).'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Singh, Rajiv K., and Rajeev Bajaj. "Advances in Chemical-Mechanical Planarization." MRS Bulletin 27, no. 10 (October 2002): 743–51. http://dx.doi.org/10.1557/mrs2002.244.

Full text
Abstract:
AbstractThe primary aim of this issue of MRS Bulletin is to present an overview of the materials issues in chemical–mechanical planarization (CMP), also known as chemical–mechanial polishing, a process that is used in the semiconductor industry to isolate and connect individual transistors on a chip. The CMP process has been the fastest-growing semiconductor operation in the last decade, and its future growth is being fueled by the introduction of copper-based interconnects in advanced microprocessors and other devices. Articles in this issue range from providing a fundamental understanding of the CMP process to the latest advancements in the field. Topics covered in these articles include an overview of CMP, fundamental principles of slurry design, understanding wafer–pad–slurry interactions, process integration issues, the formulation of abrasive-free slurries for copper polishing, understanding surface topography issues in shallow trench isolation, and emerging applications.
APA, Harvard, Vancouver, ISO, and other styles
2

Kim, Hojoong, Andy Kim, and Tae Sung Kim. "Investigation of Correlation between Polishing Characteristic and Pad Roughness during Chemical Mechanical Planarization Process." Advanced Materials Research 488-489 (March 2012): 831–35. http://dx.doi.org/10.4028/www.scientific.net/amr.488-489.831.

Full text
Abstract:
The Chemical mechanical planarization (CMP) process has become a primary planarization technique required for the manufacture of advanced integrated circuit (IC) devices. As the feature size of IC chips shrinks down to 65 nm and below, the role of CMP as a robust planarization process becomes increasingly important. In this work, we evaluated surface roughness of CMP pad to correlate the roughness with CMP performance such as material removal rate (MRR) and pad lifetime. Pad surface was analyzed by 3-dimensional profiler and scanning electron microscope (SEM). We found that MRR could be varied with the pad life time and roughness. We also found that suitable roughness range is exist to get stable CMP performance. Finally, we introduced ‘pre-conditioning’ method to manage the roughness of CMP pad to get stable CMP performance at the initial pad life time.
APA, Harvard, Vancouver, ISO, and other styles
3

Park, Seonghyun, and Hyunseop Lee. "Electrolytically Ionized Abrasive-Free CMP (EAF-CMP) for Copper." Applied Sciences 11, no. 16 (August 5, 2021): 7232. http://dx.doi.org/10.3390/app11167232.

Full text
Abstract:
Chemical–mechanical polishing (CMP) is a planarization process that utilizes chemical reactions and mechanical material removal using abrasive particles. With the increasing integration of semiconductor devices, the CMP process is gaining increasing importance in semiconductor manufacturing. Abrasive-free CMP (AF-CMP) uses chemical solutions that do not contain abrasive particles to reduce scratches and improve planarization capabilities. However, because AF-CMP does not use abrasive particles for mechanical material removal, the material removal rate (MRR) is lower than that of conventional CMP methods. In this study, we attempted to improve the material removal efficiency of AF-CMP using electrolytic ionization of a chemical solution (electrolytically ionized abrasive-free CMP; EAF-CMP). EAF-CMP had a higher MRR than AF-CMP, possibly due to the high chemical reactivity and mechanical material removal of the former. In EAF-CMP, the addition of hydrogen peroxide (H2O2) and citric acid increased the MRR, while the addition of benzotriazole (BTA) lowered this rate. The results highlight the need for studies on diverse chemical solutions and material removal mechanisms in the future.
APA, Harvard, Vancouver, ISO, and other styles
4

Zhang, Liming, Srini Raghavan, and Milind Weling. "Minimization of chemical-mechanical planarization (CMP) defects and post-CMP cleaning." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 17, no. 5 (1999): 2248. http://dx.doi.org/10.1116/1.590901.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Li, Jing, Xin Chun Lu, and Zong Bo Zhang. "Inhibition Mechanism of Benzotriazole in Copper Chemical Mechanical Planarization." Applied Mechanics and Materials 607 (July 2014): 74–78. http://dx.doi.org/10.4028/www.scientific.net/amm.607.74.

Full text
Abstract:
During the process of chemical mechanical planarization (CMP) of copper, benzotriazole (BTA) is the most commonly used inhibitor in the slurry. Though the corrosion inhibition mechanism has been studied widely, the mechanism of BTA layer on copper surface in CMP slurries should be further investigated. In this paper, the adsorption mechanisms of BTA were studied by static corrosion tests. Besides, the surface composition was measured by XPS. Combining with CMP experiments, the material removal mechanism of copper CMP depending on pH values was investigated. It was found that the formation of passive film, consisting of Cu-BTA complex, adsorption of BTA and copper oxides, played a dominant role under acidic conditions. While the surface film composed of adsorption layer of BTA and copper oxides under alkaline conditions. The inhibition mechanism of BTA varied with pH values, resulted in corresponding changes of material removal rate and coefficients of friction.
APA, Harvard, Vancouver, ISO, and other styles
6

Seo, Jihoon. "A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization." Journal of Materials Research 36, no. 1 (January 15, 2021): 235–57. http://dx.doi.org/10.1557/s43578-020-00060-x.

Full text
Abstract:
AbstractAs the minimum feature size of integrated circuit elements has shrunk below 7 nm, chemical mechanical planarization (CMP) technology has grown by leaps and bounds over the past several decades. There has been a growing interest in understanding the fundamental science and technology of CMP, which has continued to lag behind advances in technology. This review paper provides a comprehensive overview of various chemical and mechanical phenomena such as contact mechanics, lubrication models, chemical reaction that occur between slurry components and films being polished, electrochemical reactions, adsorption behavior and mechanism, temperature effects, and the complex interactions occurring at the wafer interface during polishing. It also provides important insights into new strategies and novel concepts for next‐generation CMP slurries. Finally, the challenges and future research directions related to the chemical and mechanical process and slurry chemistry are highlighted.
APA, Harvard, Vancouver, ISO, and other styles
7

Karimi, Sarah, Meiline Troeung, Ruhung Wang, Rockford Draper, and Paul Pantano. "Acute and chronic toxicity of metal oxide nanoparticles in chemical mechanical planarization slurries with Daphnia magna." Environmental Science: Nano 5, no. 7 (2018): 1670–84. http://dx.doi.org/10.1039/c7en01079f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Chen, Yang, Ailian Chen, and Jiawei Qin. "Polystyrene core–silica shell composite particles: effect of mesoporous shell structures on oxide CMP and mechanical stability." RSC Advances 7, no. 11 (2017): 6548–58. http://dx.doi.org/10.1039/c6ra26437a.

Full text
Abstract:
Organic/inorganic composite particles with a core–shell structure exhibit potential applications in chemical mechanical polishing/planarization (CMP) for mechanically challenging materials (copper and low-k dielectrics etc.).
APA, Harvard, Vancouver, ISO, and other styles
9

Yang, Xiang Dong, Xin Wei, Xiao Zhu Xie, and Zhuo Chen. "Development of Theory Model in Chemical Mechanical Polishing." Advanced Materials Research 403-408 (November 2011): 767–71. http://dx.doi.org/10.4028/www.scientific.net/amr.403-408.767.

Full text
Abstract:
Chemical mechanical polishing (hereinafter referred to as CMP) which is to provide the best global planarization technology has been researched and applied in the field of ultra-precision surface finish. This article outlines the principles of the CMP process, focusing on the development of the major theoretical models such as phenomenological model, contact mechanics model, fluid dynamics model and hybrid model based contact mechanics and fluid dynamics in chemical mechanical polishing process. The hybrid model based contact mechanics and fluid dynamics has been a good developed in recent years. The model based on the molecular / atomic scale is proposed the further research methods of CMP's theoretical model.
APA, Harvard, Vancouver, ISO, and other styles
10

Si, Li Na, and Guo Xin Xie. "Molecular Modeling of the Mechanical Effect in the Chemical Mechanical Polishing Process." Applied Mechanics and Materials 665 (October 2014): 132–35. http://dx.doi.org/10.4028/www.scientific.net/amm.665.132.

Full text
Abstract:
Chemical mechanical polishing (CMP) is currently the unique technology of ultra-fine surface machining for global planarization in the process of ultra-large-scale integration (ULSI) of multi-layer copper interconnects. Molecular modeling has been demonstrated to be an effective tool to simulate the CMP process, which usually takes place on the nanoscale. Here, recent important progresses on the molecular dynamics simulation investigation into the material removal mechanisms and the roles of particles in the CMP processes are shown. The mechanical effects on the material removal during the CMP process are discussed. Finally, a short summary and future outlook towards this direction will be given.
APA, Harvard, Vancouver, ISO, and other styles
11

Tseng, Wei-Tsu, Sana Rafie, Adam Ticknor, Vamsi Devarapalli, Connie Truong, Christopher Majors, John Zabasajja, Jennifer Sokol, Vince Laraia, and Matt Fritz. "Microreplicated Conditioners for Cu Barrier Chemical-Mechanical Planarization (CMP)." ECS Journal of Solid State Science and Technology 4, no. 11 (2015): P5001—P5007. http://dx.doi.org/10.1149/2.0011511jss.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Tregub, Alex, and Laura Nguyen. "SEMI Standards for Consumables for Chemical Mechanical Planarization (CMP)." ECS Meeting Abstracts MA2021-01, no. 20 (May 30, 2021): 827. http://dx.doi.org/10.1149/ma2021-0120827mtgabs.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Yang, Chan Ki, Jin Goo Park, Jung Hun Jo, Geun Sik Lim, Tae Hyung Kim, and In Soo Jo. "Removal of Slurry Residues in Tungsten Plug during Chemical Mechanical Planarization." Solid State Phenomena 124-126 (June 2007): 157–60. http://dx.doi.org/10.4028/www.scientific.net/ssp.124-126.157.

Full text
Abstract:
One of key processes in tungsten (W) CMP is to remove slurry particles inside W plug after CMP. In general, HF cleaning is well known to remove the slurry residue particles in W plugs. HF chemistry lifts off the particles by etching the plug during scrubbing and effectively removes particles. It is sometimes impossible to apply HF chemisty on W plug due to the degradation of electrical characteristics of a device. In this paper, a post W CMP cleaning process is proposed to remove residue particles without applying HF chemistry. After W CMP, recessed plugs are created, therefore they easily trap slurry particles during CMP process. These particles in recessed plug are not easy to remove by brush scrubbing when NH4OH chemistry is used for the cleaning because the brush surface can not reach the recessed area of plugs. Buffing with oxide slurry was followed by W CMP due to its high selectivity to W. The buffing polishes only oxide slightly which creates higher plug profiles than surrounding oxide. Higher profiles make the brush contact much more effectively and result in a similar particle removal efficiency even in NH4OH cleaning to that in HF brush scrubbing.
APA, Harvard, Vancouver, ISO, and other styles
14

Borst, Christopher L., Dipto G. Thakurta, William N. Gill, and Ronald J. Gutmann. "Chemical-Mechanical Planarization of Low-k Polymers for Advanced IC Structures." Journal of Electronic Packaging 124, no. 4 (December 1, 2002): 362–66. http://dx.doi.org/10.1115/1.1510138.

Full text
Abstract:
Successful integration of copper and low dielectric constant (low-k) materials is dependent on robust chemical-mechanical planarization (CMP) during damascene patterning. This process includes the direct removal of copper and interaction of the copper slurry with the underlying dielectric. Experiments were designed and performed to examine the CMP of two low-k polymers from Dow Chemical Company, bis-benzocyclobutene (BCB*, k=2.65) and “silicon-application low-k material” (SiLK* resin, k=2.65) with both acidic slurries suitable for copper damascene patterning and a KH phthalate-based model slurry developed for SiLK. Blanket polymer films were polished in order to determine the interactions that occur when copper and liner materials are removed by the damascene CMP process. Removal rates were obtained from material thickness measurements, post-CMP surface topography from AFM scans, and post-CMP surface chemistry from XPS measurements. Physically based wafer-scale models are presented which are compatible with the experimental results.
APA, Harvard, Vancouver, ISO, and other styles
15

Bao, Han, Lan Chen, and Bowen Ren. "A Study on the Pattern Effects of Chemical Mechanical Planarization with CNN-Based Models." Electronics 9, no. 7 (July 17, 2020): 1158. http://dx.doi.org/10.3390/electronics9071158.

Full text
Abstract:
Chemical mechanical polishing (CMP) has become one of the most important process stages in the fabrication of advanced integrated circuits (IC). The CMP pattern effect strongly influences the planarization of the chip surface morphology after CMP, degrading the performance and the yield of the circuits. In this paper, we introduce a method to predict the post-CMP surface morphology with a convolutional neural network (CNN)-based CMP model. Then, CNN-based, density step height (DSH)-based, and common neural-network-based CMP models are built to compare the accuracy of the predictions. The test chips are designed and taped out and the predictions of the three models are compared with experimental results measured by an atomic force profiler (AFP) and scanning electron microscope (SEM). The results show that CNN-based CMP models have better accuracy by taking advantage of the CNN networks to extract features from images instead of the traditional equivalent pattern parameters. The effective planarization length (EPL) is introduced and defined to make better predictions with real-time CMP models and in dummy filling tasks. Experiments are designed to show a method to solve the EPL.
APA, Harvard, Vancouver, ISO, and other styles
16

Ong, Markus D., Patrick Leduc, Daniel W. McKenzie, Thierry Farjot, Gerard Passemard, Sylvain Maitrejean, and Reinhold H. Dauskardt. "Solution chemistry effects on cracking and damage evolution during chemical-mechanical planarization." Journal of Materials Research 25, no. 10 (October 2010): 1904–9. http://dx.doi.org/10.1557/jmr.2010.0249.

Full text
Abstract:
We describe progress in understanding the effect of simulated chemical-mechanical planarization (CMP) slurry chemistry on the evolution of defects and formation of damage that occurs during CMP processing. Specifically, we demonstrate the significant effect of aqueous solution chemistry on accelerating crack growth in porous methylsilsesquioxane (MSSQ) films. In addition, we show that the same aqueous solutions can diffuse rapidly into the highly hydrophobic nanoporous MSSQ films containing interconnected porosity. Such diffusion has deleterious effects on both dielectric properties and the acceleration of defect growth rates. Crack propagation rates were measured in several CMP solutions, and the resulting crack growth behavior was used to qualitatively predict the extent of damage during CMP. These predictions are compared with damage formed during actual CMP processes in identical chemistries. We discuss the effects of both the high and low crack growth rate regimes, including the presence of a crack growth threshold, on the predicted CMP damage. Finally, implications for improved CMP processing were considered.
APA, Harvard, Vancouver, ISO, and other styles
17

Mao, Ying Jun, Gim Guan Chen, Ramana Murthy, and Swee Kiat Eugene Tan. "High Topography Polyimide CMP Process." Advanced Materials Research 254 (May 2011): 107–10. http://dx.doi.org/10.4028/www.scientific.net/amr.254.107.

Full text
Abstract:
This paper reports a process of filling and planarization of microstructures for MEMS and wafer level packaging application. In this work, cavities of 5-10mm depth and 20-100mm in length/width are filled using multiple coatings of polyimide with kinematic viscosities in the range of 20-130St. Such filling results in overfilling of polyimide in the range of 2 to 10mm due to variation in density and geometry of microstructure. A chemical and mechanical polishing (CMP) based planarization process, to achieve polyimide thickness variation <0.2mm in varied structures is presented.
APA, Harvard, Vancouver, ISO, and other styles
18

Shukla, Arpita, S. Noyel Victoria, and R. Manivannan. "A Review on Chemical Mechanical Planarization of Barrier Layer Metals." Key Engineering Materials 882 (April 2021): 171–80. http://dx.doi.org/10.4028/www.scientific.net/kem.882.171.

Full text
Abstract:
Chemical mechanical planarization (CMP) is recognized to be one of the finest polishing techniques which provides a smooth and globally planarized metal surface in the field of semiconductor device manufacturing. This process aids in material removal followed with a well finished and planarized surface by a combination of both chemical and mechanical action imparted by oxidizer and abrasive particle respectively. Semiconductor device manufacturing process is an amalgamation of two sub processes i.e. front end of line (FEOL) and back end of line (BEOL). The whole process consists of different segments comprising of several types of material that need to be planarized. The slurry components play an imperative role in metal CMP. It comprises abrasive, oxidizer, and several additives such as complexing agent, corrosion inhibitor, pH adjustor, slurry stabilizer, etc. and each imparts diverse impact on the material needs to be polished. One of the main topics of concern in this area is the removal rate selectivity of interconnects metal to the barrier layer metal. Thus, the reported review work efforts to emphasize the planarization of barrier layer materials, the various key ingredients employed in metal CMP and removal rate selectivity between interconnects and barrier layer metal.
APA, Harvard, Vancouver, ISO, and other styles
19

Su, J. X., Jia Xi Du, X. L. Liu, H. N. Liu, and R. K. Kang. "Study on Lubricating Behavior in Chemical Mechanical Polishing." Key Engineering Materials 487 (July 2011): 243–47. http://dx.doi.org/10.4028/www.scientific.net/kem.487.243.

Full text
Abstract:
Chemical mechanical polishing (CMP) has become the most widely used planarization technology in the metal and hard-brittle crystal substrate manufacturing process. In this paper, the distinguish method of lubricating behavior in CMP had been analyzed in theory firstly. Then, the tests of CMP with silicon wafer and deposited copper wafer at different polishing pressure had been done. By the test results, the Stribeck curves obtained showed obvious smooth. But in normal CMP conditions, the friction coefficient of polishing area was above 0.1. By analyzing the experimental results, it was concluded that the lubrication state in CMP interface is belong to the boundary lubrication and the material removal is the process of bringing and removed of the chemical reaction boundary lubricating film on hard-brittle crystal substrate surface constantly. The contact form between the workpiece and the polishing pad is the solid-solid contact. These results will provide theoretical guide to further understand the material removal mechanism of in hard-brittle crystal substrate CMP.
APA, Harvard, Vancouver, ISO, and other styles
20

Speed, David, Paul Westerhoff, Reyes Sierra-Alvarez, Rockford Draper, Paul Pantano, Shyam Aravamudhan, Kai Loon Chen, et al. "Physical, chemical, and in vitro toxicological characterization of nanoparticles in chemical mechanical planarization suspensions used in the semiconductor industry: towards environmental health and safety assessments." Environmental Science: Nano 2, no. 3 (2015): 227–44. http://dx.doi.org/10.1039/c5en00046g.

Full text
Abstract:
This tutorial review focuses on aqueous slurries of dispersed engineered nanoparticles (ENPs) used in chemical mechanical planarization (CMP) for polishing wafers during manufacturing of semiconductors.
APA, Harvard, Vancouver, ISO, and other styles
21

Chen, Guomei, Zifeng Ni, Yawen Bai, Qingzhong Li, and Yongwu Zhao. "The role of interactions between abrasive particles and the substrate surface in chemical-mechanical planarization of Si-face 6H-SiC." RSC Advances 7, no. 28 (2017): 16938–52. http://dx.doi.org/10.1039/c6ra27508g.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Tsai, Hung Jung, C. C. Chang, Yeau Ren Jeng, and Sih Li Chen. "The Characteristic of Abrasive Particle in Chemical – Mechanical Polishing." Materials Science Forum 505-507 (January 2006): 805–10. http://dx.doi.org/10.4028/www.scientific.net/msf.505-507.805.

Full text
Abstract:
Chemical Mechanical Polishing (CMP) is the key technique for wafer global planarization. However, the characteristic of abrasive particle, including particle size and grain/grain collision elasticity, plays an important role in CMP process. This investigation analyzes the slurry flow between the wafer and pad using a grain flow model with partial hydrodynamic lubrication theory. This model predicts the film thickness and remove rate of the slurry flow under a variety of the CMP parameters including load, rotation speed, pad roughness, grain/grain collision elasticity and grain size. The theoretical results compare well with the previous experiment data. This study elucidates the grain characteristics during CMP process. It also contributes to the understanding of abrasive particle effects in the chemical mechanical polishing mechanism.
APA, Harvard, Vancouver, ISO, and other styles
23

Liu, Zhi Xiang, Jian Guo Yao, Song Zhan Fan, and Jian Xiu Su. "Study on the Preparation Technology of Fixed Abrasive Polishing Pad in Chemical Mechanical Polishing." Applied Mechanics and Materials 602-605 (August 2014): 485–88. http://dx.doi.org/10.4028/www.scientific.net/amm.602-605.485.

Full text
Abstract:
According to the shortcomings of the traditional free abrasive chemical mechanical polishing (CMP), in recent years, the fixed abrasive chemical mechanical polishing (FA-CMP) technology is proposed. It is a new planarization technology developed on the basis of the traditional CMP. Pad is an important and dispensable part in FA-CMP. The cost and quality of FA-CMP pad are determined by the preparation technology. In order to study the FA-CMP pad of the low cost and high quality, in this paper, by reading a lot of literature, 5 kinds of preparation technology of FA-CMP pad are analyzed. Study results will provide some reference for further designing and manufacturing the FA-CMP pad.
APA, Harvard, Vancouver, ISO, and other styles
24

Jeong, Seonho, Kyeongwoo Jeong, Jinuk Choi, and Haedo Jeong. "Analysis of Correlation between Pad Temperature and Asperity Angle in Chemical Mechanical Planarization." Applied Sciences 11, no. 4 (February 7, 2021): 1507. http://dx.doi.org/10.3390/app11041507.

Full text
Abstract:
Chemical mechanical planarization (CMP) is a technology widely employed in device integration and planarization processes used in semiconductor fabrication. In CMP, the polishing pad plays a key role both mechanically and chemically. The surface of the pad, consisting of asperities and pores, undergoes repeated cycles of glazing induced by polishing followed by the recovery of roughness by a conditioning process applied during CMP. As a polymer material, the pad also experiences thermal expansion from changes in temperature. Such changes can be expressed in terms of surface roughness values, but these do not fully capture the actual changes to the pad surface. In this study, the change in pad temperature occurring during CMP was analyzed with regard to its effect on the asperity angle, and the influence on CMP outcome was assessed. The changes in the surface asperities according to the steady-state pad temperature were evaluated using various measurement methods. The change in pad roughness was characterized in terms of the asperity angle, and the contact state predicted according to temperature were validated by measuring the contact perimeter, the number of contact points, and related values. Through Scanning Electron Microscope (SEM) and micro-CT analysis, it was confirmed that in the continuous polishing process and the conditioning process, the changes in asperity angle due to changes in pad temperature affect the polishing outcome.
APA, Harvard, Vancouver, ISO, and other styles
25

Kwon, Tae-Young, Manivannan Ramachandran, and Jin-Goo Park. "Scratch formation and its mechanism in chemical mechanical planarization (CMP)." Friction 1, no. 4 (November 14, 2013): 279–305. http://dx.doi.org/10.1007/s40544-013-0026-y.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Park, Kihong, Sang-hyeon Park, Seokjun Hong, Jongsoo Han, Sanghcuk Jeon, Chang min Kim, and Taesung Kim. "Post Chemical Mechanical Planarization (CMP) Cleaning Using Hydrogen Dissolved Water." ECS Meeting Abstracts MA2021-01, no. 20 (May 30, 2021): 813. http://dx.doi.org/10.1149/ma2021-0120813mtgabs.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Kao, M. J., F. C. Hsu, and D. X. Peng. "Synthesis and Characterization of SiO2Nanoparticles and Their Efficacy in Chemical Mechanical Polishing Steel Substrate." Advances in Materials Science and Engineering 2014 (2014): 1–8. http://dx.doi.org/10.1155/2014/691967.

Full text
Abstract:
Chemical mechanical polishing (CMP) technology is extensively used in the global planarization of highly value-added and large components in the aerospace industry. A nanopowder of SiO2was prepared by the sol-gel method and was compounded into polishing slurry for the CMP of steel substrate. The size of the SiO2abrasives was controlled by varying the sol-gel reaction conditions. The polishing efficacy of nano-SiO2was studied, and the CMP mechanism with nanosized abrasives was further investigated. The proposed methods can produce SiO2abrasives whose size can be controlled by varying the sol-gel reaction conditions. The size of the SiO2abrasives was controlled in the range from 58 to 684 nm. The roughness of the steel substrate strongly depends on the size of the abrasive, and the surface roughness decreases as the abrasive size declines. A super-smooth surface with a roughness of 8.4 nm is obtained with nanosized SiO2. Ideal CMP slurry can be used to produce material surfaces with low roughness, excellent global planarization, high selectivity, an excellent finish, and a low-defected rate.
APA, Harvard, Vancouver, ISO, and other styles
28

Lieten, Ruben R., Daniela White, Thomas Parson, and Michael White. "Post-CMP Cleaners for Tungsten Advanced Nodes: 10nm and 7nm." Solid State Phenomena 282 (August 2018): 278–83. http://dx.doi.org/10.4028/www.scientific.net/ssp.282.278.

Full text
Abstract:
Chemical Mechanical Planarization (CMP) is a key process for IC manufacturers. Tungsten (W) is an important material for connecting logic elements and for connecting memory elements, thanks to its excellent planarization, filling, mechanical and electromigration properties. W slurries are developed to remove high amounts of W via an abrasive, in conjunction with an oxidizer. After the polishing process, the planarized surface is contaminated with abrasive particles, organic residue, pad debris and metal cations through covalent or hydrogen-bonding, electrostatic and Van der Waals attractions. Post-CMP cleaning is required to remove all these contaminants while exhibiting low galvanic and chemical corrosion. Formulated cleans are needed to meet all these requirements. The performance of formulated W/TiN post-CMP cleaners for N10 and N7 has been evaluated. The newly developed formulations show a factor 4 reduction in metal surface contamination (from ~2 x 1012atoms/cm2to ~ 5 x 1011atoms/cm2), which is important to prevent dielectric breakdown. Very low particulate and organic residue defectivity was additionally confirmed by different surface characterization techniques: XPS, FTIR, contact angle/surface energy.
APA, Harvard, Vancouver, ISO, and other styles
29

Tso, Pei Lum, and Yao Cheng Chang. "Study on Chemical Mechanical Polishing with Ultrasonic Vibration." Advanced Materials Research 126-128 (August 2010): 311–15. http://dx.doi.org/10.4028/www.scientific.net/amr.126-128.311.

Full text
Abstract:
Chemical Mechanical Polishing(CMP) is currently the most effective planarization method used in the semiconductor industry. Because of the continuous improvement of the wafer size and line width, the CMP process must be promoted and improved. Many studies have been undertaken to try and achieve both a high material remval rate (MRR) while maintaing a high surface quality of silicon wafer, however up until this point it appears that the two objectives are mutually exclusive. In this paper, an innovative method which integrated ultrasonic vibration assisted machining and CMP (UCMP) has been developed. With the use of ultrasonic vibration, the CMP efficiency and the quality of ploished suface improves considerably as shown in this paper. The basic principle effects of ultrasonic vibration are further illustrated and the experiments had been done to demostrate the proper procedure. The results showed that UCMP achieves a higher material removal rate (MRR) and better surface quality at the same time.
APA, Harvard, Vancouver, ISO, and other styles
30

Jeong, Suk Hoon, Suk Bae Joo, Ho Jun Lee, Boum Young Park, Hyoung Jae Kim, and Hae Do Jeong. "Two-Step Planarization of ECMP and CMP for MEMS Copper Patterns." Materials Science Forum 569 (January 2008): 117–20. http://dx.doi.org/10.4028/www.scientific.net/msf.569.117.

Full text
Abstract:
Chemical mechanical polishing (CMP) has been used as planarization process in the fabrication of semiconductor devices. The CMP process is required to planarize the overburden film in an interconnect process by high relative velocity between head and platen, high pressure of head and chemical effects of an aqueous slurry. But, a variety of defects such as dishing, delamination and metal layer peering are caused by CMP factors such as high pressure, pad bending and strong chemical effect. The electrical energy of the electro-chemical mechanical planarization (ECMP) dissolves copper (Cu) solid into copper ions electrochemically in an aqueous electrolyte. The dissolved copper complex layer or passivation layer is removed by the mechanical abrasions of polishing pad and abrasive. Therefore the ECMP process realizes low pressure processing of soft metals to reduce defects comparing to traditional CMP process. But, if projected metal patterns were removed and not remained on whole wafer surface in final processing stage, Cu layer could not be removed by ECMP process. The two-step process consists of the ECMP and the conventional CMP used in micro patterned Cu wafers. First, the ECMP process removed several tens 'm of bulk copper on Cu patterned wafer within shorter process time than the Cu CMP. Next, residual Cu layer was completely removed by the Cu CMP under low pressure. Total time and process defects are extremely reduced by the two-step process.
APA, Harvard, Vancouver, ISO, and other styles
31

Cha, Nam-Goo, Young-Jae Kang, In-Kwon Kim, Kyu-Chae Kim, and Jin-Goo Park. "Evaluation of Al CMP Slurry based on Abrasives for Next Generation Metal Line Fabrication." Korean Journal of Materials Research 16, no. 12 (December 27, 2006): 731–38. http://dx.doi.org/10.3740/mrsk.2006.16.12.731.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Peng, De-Xing. "Optimization of chemical mechanical polishing parameters on surface roughness of steel substrate with aluminum nanoparticles via Taguchi approach." Industrial Lubrication and Tribology 66, no. 6 (September 2, 2014): 685–90. http://dx.doi.org/10.1108/ilt-07-2012-0063.

Full text
Abstract:
Purpose – The purpose of this paper is to investigate the effects of abrasive contents, oxidizer contents, slurry flow rate and polishing time in achieving a mirror-like finish on polished surfaces. Chemical mechanical polishing (CMP) is now widely used in the aerospace industry for global planarization of large, high value-added components. Design/methodology/approach – Optimal parameters are applied in experimental trials performed to investigate the effects of abrasive contents, oxidizer contents, slurry flow rate and polishing time in achieving a mirror-like finish on polished surfaces. Taguchi design experiments are performed to optimize the parameters of CMP performed in steel specimens. Findings – Their optimization parameters were found out; the surface scratch, polishing fog and remaining particles were reduced; and the flatness of the steel substrate was guaranteed. The average roughness (Ra) of the surface was reduced to 6.7 nm under the following process parameters: abrasive content of 2 weight per cent, oxidizer content of 2 weight per cent, slurry flow rate of 100 ml/min and polishing time of 20 min. Originality/value – To meet the final process requirements, the CMP process must provide a good planarity, precise selectivity and a defect-free surface. Surface planarization of components used to fabricate aerospace devices is achieved by CMP process, which enables global planarization by combining chemical and mechanical interactions.
APA, Harvard, Vancouver, ISO, and other styles
33

Kumar, Amit, Jose Chacon, Peter Gelzinis, and Ankineedu Velaga. "Optimizing the Within Wafer Non-Uniformity at the Chemical Mechanical Planarization step in Interposers and RDL fabrication process for 3D IC stacking." International Symposium on Microelectronics 2019, no. 1 (October 1, 2019): 000450–53. http://dx.doi.org/10.4071/2380-4505-2019.1.000450.

Full text
Abstract:
Abstract Chemical Mechanical Planarization (CMP) is an integral step in fabrication processes of through silicon via based Interposer and Redistribution layer for routing. The key motivation in the CMP process is to achieve planarization with minimum recess and dishing on the metal routing sites. In this work we established the metrics for Within-wafer non-uniformity (WIWNU) and optimized the CMP parameters. Currently, there is no standard guideline for reporting the WIWNU during CMP and thus a better understanding and practice is needed to enhance post CMP uniformity across the wafer. The work reported in this article were conducted on 200 mm silicon wafers with either Copper or Tungsten to optimize the uniformity of removal rate with respect to polishing parameters, such as slurry flow, slurry composition, down force, relative velocity of wafer surface with pad, etc. With optimized CMP parameters for blank wafer, the WIWNU in patterned wafer were evaluated and analyzed for additional optimization in non-uniformity.
APA, Harvard, Vancouver, ISO, and other styles
34

Tan, Bai Mei, J. Y. Yuan, X. H. Niu, H. L. Shi, Yu Ling Liu, and Chun Xiang Cui. "Study on CMP Slurry and Technique of Silicon Dioxide Dielectric for ULSI." Key Engineering Materials 373-374 (March 2008): 798–801. http://dx.doi.org/10.4028/www.scientific.net/kem.373-374.798.

Full text
Abstract:
SiO2 is a kind of widely used dielectric material in ULSI and its chemical mechanical planarization (CMP) is one of the most difficult processes. In this paper, the CMP mechanism and the effect of abrasive on SiO2 dielectric were analyzed; the different factors of affecting the CMP were analyzed. A kind of organic alkali was chosen to act as the pH regulator and complexation agent to enhance the chemical effect. The silica sol was selected as abrasive to realize no contamination, low viscidity, proper hardness and easy to clean. The effect of different concentration of abrasive on the removal rate and surface performance were studied. Further more the influence of polishing slurry flow and surfactant on removal rate were analyzed. The final planarization was realized.
APA, Harvard, Vancouver, ISO, and other styles
35

Zhang, Chao Hui, Hong Lei, and Xiao Li Hu. "Slurry Preparation: A Key to Upgrade CMP Efficiency." Advanced Materials Research 148-149 (October 2010): 19–24. http://dx.doi.org/10.4028/www.scientific.net/amr.148-149.19.

Full text
Abstract:
Slurry preparation is of paramount importance in chemical mechanical planarization (CMP) process. It couples the combination effects of chemical and mechanical ones. In this paper, the characteristics of the slurry particles used in CMP are firstly modeled, which includes the size and the concentration with primary priority. And then, the model is validated by experiments during hard disk wafer polishing. The slurry prepared contributes to a high quality hard disk surface processed with CMP, which leads to low waviness Wa and roughness Ra with suitable material removal rate (MRR) as well. The study will surely lay a feasible foundation to the CMP mechanism.
APA, Harvard, Vancouver, ISO, and other styles
36

Tang, Xin Liang, Yu Ling Liu, Hong Yuan Zhang, and Jie Bao. "Effects of Alkaline Nano-SiO2 Abrasive on Planarization of 300mm Copper Patterned Wafer." Advanced Materials Research 634-638 (January 2013): 2949–54. http://dx.doi.org/10.4028/www.scientific.net/amr.634-638.2949.

Full text
Abstract:
Silica abrasive plays an important role in chemical mechanical planarization (CMP) of copper. In this paper, effect of different silica abrasive concentrations on copper removal rate and planarization performance of copper was investigated. The results show that the copper removal rate was increased as the concentration of silica abrasive increase. However, excessive abrasive will lead to a decreased copper removal rate. The initial step height values of the multilayer copper wafers were all about 2500Å, and after being polished for 30s, the remaining values of step height of slurry A, B, C and D were 717 Å, 906 Å, 1222 Å and 1493 Å. It indicates that alkaline copper slurries with different abrasive concentrations all had a good planarization performance on copper patterned wafer CMP. As the abrasive concentration increased, the planarization capability was enhanced.
APA, Harvard, Vancouver, ISO, and other styles
37

Karagoz, Ayse, James Mal, and G. Bahar Basim. "Understanding Selectivity on Germanium/SiO2 Chemical Mechanical Planarization Through Design of Experiments." MRS Proceedings 1790 (2015): 19–24. http://dx.doi.org/10.1557/opl.2015.524.

Full text
Abstract:
ABSTRACTThe continuous trend of achieving more complex microelectronics with smaller nodes yet larger wafer sizes in microelectronics manufacturing lead to aggressive development requirements for chemical mechanical planarization (CMP) process. Particularly, beyond the 14 nm technology the development needs made it a must to introduce high mobility channel materials such as Ge. CMP is an enabler for integration of these new materials into future devices. In this study, we implemented a design of experiment (DOE) methodology in order to understand the optimized CMP slurry parameters such as optimal concentration of surface active agent (sodium dodecyl sulfate-SDS), concentration of abrasive particles and pH from the viewpoint of high removal rate and selectivity while maintaining a defect free surface finish. The responses examined were particle size distribution (slurry stability), zeta potential, material removal rate (MRR) and the surface defectivity as a function of the selected design variables. The impact of fumed silica particle loadings, oxidizer (H2O2) concentration, SDS surfactant concentration and pH were analyzed on Ge/silica selectivity through material removal rate (MRR) surface roughness and defectivity analyses.
APA, Harvard, Vancouver, ISO, and other styles
38

Abelev, Esta, Andrew Jonathan Smith, Achim Walter Hassel, and Yair Ein-Eli. "Potassium sorbate solutions as copper chemical mechanical planarization (CMP) based slurries." Electrochimica Acta 52, no. 16 (April 2007): 5150–58. http://dx.doi.org/10.1016/j.electacta.2007.02.010.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Lee, Dasol, Hyunseop Lee, and Haedo Jeong. "Slurry components in metal chemical mechanical planarization (CMP) process: A review." International Journal of Precision Engineering and Manufacturing 17, no. 12 (December 2016): 1751–62. http://dx.doi.org/10.1007/s12541-016-0201-y.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Zhang, F., and A. Busnaina. "Submicron particle removal in post-oxide chemical-mechanical planarization (CMP) cleaning." Applied Physics A: Materials Science & Processing 69, no. 4 (October 1, 1999): 437–40. http://dx.doi.org/10.1007/s003390051028.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Lee, Hyun Seop, Boum Young Park, Sung Min Park, Hyoung Jae Kim, and Hae Do Jeong. "The Characteristics of Frictional Behaviour in CMP Using an Integrated Monitoring System." Key Engineering Materials 339 (May 2007): 152–57. http://dx.doi.org/10.4028/www.scientific.net/kem.339.152.

Full text
Abstract:
Chemical mechanical polishing (CMP) has become the preferred technology to achieve global planarization of wafer surfaces. Especially in oxide CMP, mechanical factors have a greater effect on the removal rate than chemical factors. So, the effects of mechanical parameters in CMP can be expressed as friction force and heat caused by friction. The friction force can be evaluated by a CMP friction force monitoring system and process temperature can be obtained by an infrared rays (IR) sensor. Furthermore, friction energy can be calculated from the friction force monitoring system. In this paper, research on the correlation between frictional and thermal characteristics of SiO2 slurry and CMP results was conducted. This data, which was obtained by using integrated monitoring system for CMP, will lead to the efficient prediction of CMP results.
APA, Harvard, Vancouver, ISO, and other styles
42

Sreeremya, Thadathil S., Malini Prabhakaran, and Swapankumar Ghosh. "Tailoring the surface properties of cerium oxide nanoabrasives through morphology control for glass CMP." RSC Advances 5, no. 102 (2015): 84056–65. http://dx.doi.org/10.1039/c5ra12319d.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Chang, L. "On the CMP Material Removal at the Molecular Scale." Journal of Tribology 129, no. 2 (November 13, 2006): 436–37. http://dx.doi.org/10.1115/1.2647829.

Full text
Abstract:
Understanding of the mechanisms of material removal is of fundamental importance in chemical-mechanical planarization of semiconductor wafers. A plausible mechanism at work is that the material is removed at the molecular scale by debonding the chemistry-weakened molecules at the wafer surface. A sequence of order-of-magnitude calculations is carried out to substantiate this mechanism of chemical-mechanical polishing (CMP) materials removal. The analysis may lend further credence to the mechanism in addition to its underlying theoretical foundation.
APA, Harvard, Vancouver, ISO, and other styles
44

He, Yan Gang, Jia Xi Wang, Xiao Wei Gan, Wei Juan Li, and Yu Ling Liu. "Effect of Complex Agent on Copper Dissolution in Alkaline Slurry for Chemical Mechanical Planarization." Advanced Materials Research 455-456 (January 2012): 1145–48. http://dx.doi.org/10.4028/www.scientific.net/amr.455-456.1145.

Full text
Abstract:
With the microelectronic technology node moves down to 45 nm and beyond, and to reduce the RC delay time, low-k dielectric materials have been used to replace regular dielectric materials. Therefore, the down force of chemical mechanical planarization (CMP) needs to decrease based on the characteristics of low-k materials: low mechanical strength. In this study, the effect of new complex agent on copper dissolution in alkaline slurry for CMP was investigated. Based on the reaction mechanism analysis of Cu in alkaline slurry in CMP, the performance of Cu removal rate and surface roughness condition were discussed. It has been confirmed that Cu1 slurry demonstrates a relatively high removal rate with low down force. And also, by utilizing the Cu1 slurry, good result of Cu surface roughness were obtained.
APA, Harvard, Vancouver, ISO, and other styles
45

Su, Jian Xiu, Dong Ming Guo, Ren Ke Kang, Zhu Ji Jin, X. J. Li, and Y. B. Tian. "Modeling and Analyzing on Nonuniformity of Material Removal in Chemical Mechanical Polishing of Silicon Wafer." Materials Science Forum 471-472 (December 2004): 26–31. http://dx.doi.org/10.4028/www.scientific.net/msf.471-472.26.

Full text
Abstract:
Chemical mechanical polishing (CMP) has already become a mainstream technology in global planarization of wafer, but the mechanism of nonuniform material removal has not been revealed. In this paper, the calculation of particle movement tracks on wafer surface was conducted by the motion relationship between the wafer and the polishing pad on a large-sized single head CMP machine. Based on the distribution of particle tracks on wafer surface, the model for the within-wafer-nonuniformity (WIWNU) of material removal was put forward. By the calculation and analysis, the relationship between the motion variables of the CMP machine and the WIWNU of material removal on wafer surface had been derived. This model can be used not only for predicting the WIWNU, but also for providing theoretical guide to the design of CMP equipment, selecting the motion variables of CMP and further understanding the material removal mechanism in wafer CMP.
APA, Harvard, Vancouver, ISO, and other styles
46

Hong, Y., U. B. Patri, S. Ramakrishnan, D. Roy, and S. V. Babu. "Utility of dodecyl sulfate surfactants as dissolution inhibitors in chemical mechanical planarization of copper." Journal of Materials Research 20, no. 12 (December 1, 2005): 3413–24. http://dx.doi.org/10.1557/jmr.2005.0419.

Full text
Abstract:
An important component of the slurries used in chemical mechanical planarization (CMP) is an appropriately chosen corrosion/dissolution inhibitor, which facilitates selective material removal from protrusions while protecting recessed regions of the surface. The present work demonstrates the utility of two environmentally benign anionic surfactants, sodium dodecyl sulfate (SDS) and ammonium dodecyl sulfate (ADS) as dissolution inhibitors. Using a standard slurry (1 wt% glycine with 5 wt% H2O2 at pH = 4.0) typically used for Cu CMP and combining measurements of open circuit potentials and contact angles with those of Cu removal rates, we show that both SDS and ADS suppress chemical dissolution and polish rates of Cu. The dissolution inhibition efficiencies of ADS and SDS measured in these experiments are found to be superior to those of benzotriazole (BTA), a traditional inhibiting agent used for copper CMP.
APA, Harvard, Vancouver, ISO, and other styles
47

Che, Wei, Yongjin Guo, Abhijit Chandra, and Ashraf Bastawros. "A Scratch Intersection Model of Material Removal During Chemical Mechanical Planarization (CMP)." Journal of Manufacturing Science and Engineering 127, no. 3 (October 12, 2004): 545–54. http://dx.doi.org/10.1115/1.1949616.

Full text
Abstract:
A scratch intersection based material removal mechanism for CMP processes is proposed in this paper. The experimentally observed deformation pattern by SEM and the trends of the measured force profiles (Che et al., 2003) reveal that, for an isolated shallow scratch, the material is mainly plowed sideway along the track of the abrasive particle with no net material removal. However, it is observed that material is detached close to the intersection zone of two scratches. Motivated by this observation, it is speculated that the deformation mechanism changes from ploughing mode to shear-segmentation mode as the abrasive particle approaches the intersection of two scratches under small indentation depth for ductile metals. The proposed mechanistic material removal rate (MRR) model yields Preston constant similar to those observed experimentally for CMP processes. The proposed model also reveals that the nature of the slurry-pad interaction mechanism, and its associated force partitioning mechanism, is important for determining the variation of MRR with particle size and concentration. It is observed that under relatively soft pads, small particles and low particle concentration, the pad undergoes local deformation, yielding an increased MRR with increasing particle size and concentration. At the other extreme, the intact walls of the surface cells and the connecting cell walls between the surface pores deform globally, resembling a beam or a plate, and a decreasing trend in MRR is observed with increasing particle size and concentration. The predicted MRR trends are compared to existing experimental observations.
APA, Harvard, Vancouver, ISO, and other styles
48

Wang, Shengli, Kangda Yin, Xiang Li, Hongwei Yue, and Yunling Liu. "Planarization mechanism of alkaline copper CMP slurry based on chemical mechanical kinetics." Journal of Semiconductors 34, no. 8 (August 2013): 086003. http://dx.doi.org/10.1088/1674-4926/34/8/086003.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Bu, Nai Jing, Hong Lei, Ru Ling Chen, and Xiao Li Hu. "Post-CMP Cleaning of Atom-Scale Planarization Surface of Computer Hard Disk Substrate." Advanced Materials Research 97-101 (March 2010): 1181–85. http://dx.doi.org/10.4028/www.scientific.net/amr.97-101.1181.

Full text
Abstract:
At present, the surface of computer hard disk substrate has reached atom-scale planarization after chemical mechanical polishing (CMP). Post-CMP cleaning is one of the key factors influencing the CMP performances. During cleaning, cleaning solution and cleaning methods play a key role in cleaning quality and effectiveness. In the present paper, alkylpolyoxyethylene alcohol carboxylic ester (FAC) surfactant was synthesized and its cleaning performances on atom-scale planarization surface of computer hard disk substrate were investigated. Microscope analysis indicated that the prepared detergent containing FAC surfactant exhibited improved cleaning performances compared with the commercial detergent. Further, inductively coupled plasma (ICP) atomic emission spectrometer, auger electron spectrogram (AES) and atomic force microscopy (AFM) analyses after static corrosion test showed that the prepared cleaning solution had lower corrosion to hard disk substrate.
APA, Harvard, Vancouver, ISO, and other styles
50

Hanazono, Masanobu, Jin Amanokura, and Yasuo Kamigata. "Development and Application of an Abrasive-Free Polishing Solution for Copper." MRS Bulletin 27, no. 10 (October 2002): 772–75. http://dx.doi.org/10.1557/mrs2002.248.

Full text
Abstract:
AbstractAn abrasive-free polishing (AFP) solution for chemical–mechanical planarization (CMP) of copper films on semiconductor wafers has been developed to overcome such disadvantages of conventional CMP as dishing, erosion, Cu and oxide loss, and microscratching. Electrochemical methods are an effective way of understanding the role of each chemical component in the AFP solution in order to optimize its performance. Analysis of the reaction layer of Cu elucidates the reasons for the excellent results that have been obtained. By applying the AFP solution for Cu CMP in combination with a slurry for CMP of the metal barrier layer, seven-level multilayer Cu interconnections can be successfully fabricated.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography