Academic literature on the topic 'Clock constraint'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Clock constraint.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Clock constraint"

1

Mallet, Frédéric. "Clock constraint specification language: specifying clock constraints with UML/MARTE." Innovations in Systems and Software Engineering 4, no. 3 (August 9, 2008): 309–14. http://dx.doi.org/10.1007/s11334-008-0055-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Dai, Xiaolei, Yidong Lou, Zhiqiang Dai, Caibo Hu, Yaquan Peng, Jing Qiao, and Chuang Shi. "Precise Orbit Determination for GNSS Maneuvering Satellite with the Constraint of a Predicted Clock." Remote Sensing 11, no. 16 (August 20, 2019): 1949. http://dx.doi.org/10.3390/rs11161949.

Full text
Abstract:
Precise orbit products are essential and a prerequisite for global navigation satellite system (GNSS) applications, which, however, are unavailable or unusable when satellites are undertaking maneuvers. We propose a clock-constrained reverse precise point positioning (RPPP) method to generate the rather precise orbits for GNSS maneuvering satellites. In this method, the precise clock estimates generated by the dynamic precise orbit determination (POD) processing before maneuvering are modeled and predicted to the maneuvering periods and they constrain the RPPP POD during maneuvering. The prediction model is developed according to different clock types, of which the 2-h prediction error is 0.31 ns and 1.07 ns for global positioning system (GPS) Rubidium (Rb) and Cesium (Cs) clocks, and 0.45 ns and 0.60 ns for the Beidou navigation satellite system (BDS) geostationary orbit (GEO) and inclined geosynchronous orbit (IGSO)/Median Earth orbit (MEO) satellite clocks, respectively. The performance of this proposed method is first evaluated using the normal observations without maneuvers. Experiment results show that, without clock-constraint, the average root mean square (RMS) of RPPP orbit solutions in the radial, cross-track and along-track directions is 69.3 cm, 5.4 cm and 5.7 cm for GPS satellites and 153.9 cm, 12.8 cm and 10.0 cm for BDS satellites. When the constraint of predicted satellite clocks is introduced, the average RMS is dramatically reduced in the radial direction by a factor of 7–11, with the value of 9.7 cm and 13.4 cm for GPS and BDS satellites. At last, the proposed method is further tested on the actual GPS and BDS maneuver events. The clock-constrained RPPP POD solution is compared to the forward and backward integration orbits of the dynamic POD solution. The resulting orbit differences are less than 20 cm in all three directions for GPS satellite, and less than 30 cm in the radial and cross-track directions and up to 100 cm in the along-track direction for BDS satellites. From the orbit differences, the maneuver start and end time is detected, which reveals that the maneuver duration of GPS satellites is less than 2 min, and the maneuver events last from 22.5 min to 107 min for different BDS satellites.
APA, Harvard, Vancouver, ISO, and other styles
3

Huang, Wei, Pascale Defraigne, Giovanna Signorile, and Ilaria Sesia. "Improved Multi-GNSS PPP Software for Upgrading the DEMETRA Project Time Monitoring Service." Sensors 19, no. 20 (October 11, 2019): 4389. http://dx.doi.org/10.3390/s19204389.

Full text
Abstract:
The H2020 DEMETRA project provides short latency clock monitoring services to the time users using the Atomium precise point positioning (PPP) software developed by the Royal Observatory of Belgium. In this paper, three recent updates of the current Atomium software are introduced: adding Galileo signals in the PPP computation; the option to constrain the receiver clock; PPP with integer ambiguity resolution. The advantages of these updates are demonstrated: Combining the Galileo and global positioning system (GPS) signals for PPP time transfer will further improve the frequency stability inside the computation batch; PPP with receiver clock constraint is not only used to reduce the short-term noise of the clock measurements but can also be used for some specific applications to a keep continuous clock solution in the computation batch or retrieve correct clock measurements from extremely noisy environments; the integer PPP allows a continuous clock solution, and improves the mid-term and long-term stability of the frequency transfer compared to the current PPP frequency transfer techniques.
APA, Harvard, Vancouver, ISO, and other styles
4

Wu, Xiu Long, Zhi Ting Lin, Jian Meng, and Jun Ning Chen. "Process Antenna Effect Elimination in Ultra Deep Submicron." Applied Mechanics and Materials 229-231 (November 2012): 1519–22. http://dx.doi.org/10.4028/www.scientific.net/amm.229-231.1519.

Full text
Abstract:
This paper analyzes the mechanism of process antenna effect in ultra deep submicron IC physical design and provides the antenna ratio calculation method. A new elimination method of process antenna effect combined with clock tree synthesis is proposed. The elimination method minimizes the impact to the clock latency and clock skew by setting up reasonable constraint for clock tree synthesize. Finally, the elimination method is used during place and route of the physical design of a reconfigurable video decoder chip, which is based on TSMC 65nm low power technology. The proposed method eliminates the process antenna effect of the design effectively, also minimizes the impact to clock tree and chip timing to the least.
APA, Harvard, Vancouver, ISO, and other styles
5

Qin, Weijin, Yulong Ge, Pei Wei, and Xuhai Yang. "An Approach to a Clock Offsets Model for Real-Time PPP Time and Frequency Transfer During Data Discontinuity." Applied Sciences 9, no. 7 (April 3, 2019): 1405. http://dx.doi.org/10.3390/app9071405.

Full text
Abstract:
To resolve the dilemma in any post-processing strategy, i.e., the difficulty of monitoring the real-time time and frequency signals in a timely manner, real-time GPS time and the frequency transfer have recently become trending topics. Unfortunately, data interruption occurs when conducting real-time time transfer, sometimes from unexpected reasons. In this study, to ensure the stability and precision of real-time time transfer, an adaptive prediction model and a between-epoch constraint receiver clock model are applied as the mathematic models. The purpose of prediction is to solve the ambiguity from re-convergence when the data reappear. Moreover, compared to the conventional method, the between-epoch constraint receiver clock model is employed in this study to consider the correlation of epoch-wise clock parameters to avoid wasting useful information. The simulation data and real data are compared to verify the performance of the new approach. The simulation data for 165 days are designed with random daily interruptions of 10, 30, 60 and 90 min. Real data from 12 days is captured from the incomplete data in routine observation records. Ignoring the simulation data and real data, the investigation of six stations shows that the results with the between-epoch constraint receiver clock model were smoother than those with a white noise model. With an adaptive prediction model and the between-epoch constraint receiver clock model, the simulation results illustrate that the average root mean squares (RMS) values of all the stations are significantly reduced, i.e., by 66.03% from 0.43 to 0.14 ns, by 64.91% from 0.44 to 0.15 ns, by 57.47% from 0.43 to 0.18 ns, and by 51.67% from 0.44 to 0.21 ns for the 10, 30, 60 and 90 min data interruptions, respectively. The stability of all the stations is improved by at least 50%. The improvement increases to 100% for short-term stability. The real results show that the stability of four links is boosted by at least 5%. The model proposed in this paper is more effective in producing short-term stability than long-term stability.
APA, Harvard, Vancouver, ISO, and other styles
6

Krabbenhoft, T. J., and T. F. Turner. "Clock Gene Evolution: Seasonal Timing, Phylogenetic Signal, or Functional Constraint?" Journal of Heredity 105, no. 3 (February 20, 2014): 407–15. http://dx.doi.org/10.1093/jhered/esu008.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

YONEDA, T., K. MASUDA, and H. FUJIWARA. "Test Scheduling for Multi-Clock Domain SoCs under Power Constraint." IEICE Transactions on Information and Systems E91-D, no. 3 (March 1, 2008): 747–55. http://dx.doi.org/10.1093/ietisy/e91-d.3.747.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Mallet, Frédéric, Julien DeAntoni, Charles André, and Robert de Simone. "The clock constraint specification language for building timed causality models." Innovations in Systems and Software Engineering 6, no. 1-2 (December 22, 2009): 99–106. http://dx.doi.org/10.1007/s11334-009-0109-0.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Ramanna, S., and J. F. Peters. "Explicit clock temporal logic in constraint checking for real-time systems *." IFAC Proceedings Volumes 24, no. 10 (September 1991): 47–58. http://dx.doi.org/10.1016/b978-0-08-041698-4.50013-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Keiser, Jane M., and Diana V. Lambdin. "The Clock Is Ticking: Time Constraint Issues in Mathematics Teaching Reform." Journal of Educational Research 90, no. 1 (September 1996): 23–31. http://dx.doi.org/10.1080/00220671.1996.9944440.

Full text
APA, Harvard, Vancouver, ISO, and other styles
More sources

Dissertations / Theses on the topic "Clock constraint"

1

Traynard, Pauline. "Model Building by Temporal Logic Constraint Solving : Investigation of the Coupling between the Cell Cycle and the Circadian Clock." Sorbonne Paris Cité, 2016. http://www.theses.fr/2016USPCC087.

Full text
Abstract:
Cette dissertation explore l'utilisation de la logique temporelle et de la vérification de modèle en biologie des systèmes. Nous soutenons que la logique temporalle constitue un outil puissant pour formaliser des propriétés dynamiques à la fois complexes et imprécises permettant de caractériser un système biologique. Cet outil peut être utilisé pour partiellement automatiser la construction de modèle, comme une résolution d'un problème de satisfaction de contraintes. Tout d'abord, nous étudions l'emploi de la logique arborecente (Computation Tree Logic) pour vérifier des propriétés dynamiques dans des graphes de transitions d'états discrets asynchrones, dérivé de modèles logiques du cycle cellulaire mammifère. La modélisation logique fournit une description qualitative et potentiellement non-déterministe d'un système biologique. Elle offre un cadre utile pour rendre compte des diverses propriétés dynamiques observées dans différentes conditions au sein d'un modèle générique. Nous développons une approche de vérification itérative de propriétés pour assister la construction et la mise à jour de modèles logiques. Puis, nous considérons des modèles quantitatifs déterministes. Pour de tels modèles, des propriétés sur les oscillations, telles que pseudo-période ou pseudo-phase, peuvent être formalisées par des contraintes quantitatives en logique du premier ordre à temps linéaire (First-Order Linear Time Logic, FO-LTL). Un modèle continu peut fournir une description précise des mécanismes impliqués dans un réseau de régulations complexe, ainsi qu'une prédiction quantitative de ses dynamiques. Cependant, une difficulté bien connue associée à cette approche provient du grand nombre de paramètres cinétiques, qui sont souvent mal caractérisés. Afin de répondre à ce problème, nous implémentons deux stratégies complémentaires pour améliorer l'efficacité de la résolution de contraintes dynamiques sur un horizon de temps fini. Une première approche exploite la définition d'une liste de motifs de logique temporelle fréquemment utilisés, associés à des solveurs de contraintes dédiés. Dans la deuxième approche, nous définissons et appliquons des règles de simplification de trace permettant de réduire la trace à analyser sans perte d'information. Nous montrons que cette approche permet de calibrer des modèles de haute dimension sur des données quantitatives sur cellule individuelle. Elle est appliquée au couplage de modèles du cycle cellulaire et de l'horloge circadienne mammifères. Comprendre la relation entre ces deux rythmes moléculaires est un problème important dans le domaine de la chronobiologie. Nous déduisons différentes hypothèses de couplage et étudions leurs conséquences
In this dissertation, we explore the use of temporal logic and model checking in systems biology. Our thesis is that temporal logic provides a powerful language to formalize complex yet imprecise dynamical properties of biological systems and to partly automate model building as a constraint satisfaction problem. We take advantage of this logical paradigm for systems biology to capture properties emerging from complex regulatory networks. First, we investigate the ability of Computation Tree Logic to verify dynamical properties in asynchronous state transition graphs derived from logical models of the mammalien cell cycle. Logical modeling provides a qualitative and potentially non-deterministic description of a biological system. This feature is useful to account for a variety of dynamical properties, observed in different conditions within a generic model. We develop an approach of iterative property verification to assist the building and updating of logical models. %ln that case, model-checking is efficiently used to analyze complex attractors and infer qualitative properties of the system. Then we consider quantitative deterministic models. For such models, oscillatory properties such as pseudo-periods and pseudo-phases are formalized by quantitative constraints in First-Order Linear Time Logic. A continuous model can provide a precise description of the mechanisms governing a complex regulatory network, and a quantitative prediction of its dynamics. However, the classical difficulties associated with this approach are brought by numerous and often poorly characterized kinetic parameters. We address this challenge by implementing two complementary strategies to obtain efficient solving of dynamical constraints over a finite time horizon : the design of useful temporal logic formula patterns associated with dedicated constraint solvers, and some trace simplification rules to safely reduce the size of the traces to analyze. We show that this approach enables the calibration of high-dimensional models on quantitative single-cell data with an application to model coupling for the mammalien cell cycle and the circadian clock. Understanding the relationships between these two molecular oscillators is an important problem in the field of chronobiology. We draw several coupling hypotheses and investigate their consequences
APA, Harvard, Vancouver, ISO, and other styles
2

Lamprecht, Jaren Tyler. "FPGA Floor-Planning Impact on Implementation Results." BYU ScholarsArchive, 2012. https://scholarsarchive.byu.edu/etd/3380.

Full text
Abstract:
The field programmable gate array (FPGA) is an attractive computational platform for many applications because of its customizable nature and modest development cost, in terms of both time and money. As FPGAs scale to increased logical capacities, designers have increased flexibility. However, the FPGA placement problem becomes more difficult at increased sizes. Increasingly, designers are encouraged to structure designs hierarchically and floor-plan. Floor planning is a manual process which maps specified design submodules to selected physical regions of the FPGA device fabric. This thesis explores several of the effects that floor-planning has on submodules and the designs they comprise. A method is developed to explore the floor-planning impact on submodules independent of a full design. Six different submodules are independently subjected to varying timing constraints and to area constraints of varying aspect ratios and area allocations. The resulting submodule minimum clock periods, routing overflows, and relocatabilities are assembled from millions of submodule implementations. The aggregate results suggest that EDA placement and routing tools can meet design constraints even with extreme combinations of submodule aspect ratio and area allocations; however, the probability of implementations meeting constraints may be low at those extremes. Separate sets of submodule floor-planning guidelines are developed to optimize for meeting minimum clock period constraints, minimizing routing overflow, and maximize relocatability. The submodule floor planning guidelines for meeting minimum clock period are verified in full design implementations.
APA, Harvard, Vancouver, ISO, and other styles
3

Anderson, Cajsa Lisa. "Dating Divergence Times in Phylogenies." Doctoral thesis, Uppsala University, Department of Evolution, Genomics and Systematics, 2007. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-8155.

Full text
Abstract:

This thesis concerns different aspects of dating divergence times in phylogenetic trees, using molecular data and multiple fossil age constraints.

Datings of phylogenetically basal eudicots, monocots and modern birds (Neoaves) are presented. Large phylograms and multiple fossil constraints were used in all these studies. Eudicots and monocots are suggested to be part of a rapid divergence of angiosperms in the Early Cretaceous, with most families present at the Cretaceous/Tertiary boundary. Stem lineages of Neoaves were present in the Late Cretaceous, but the main divergence of extant families took place around the Cre-taceous/Tertiary boundary.

A novel method and computer software for dating large phylogenetic trees, PATHd8, is presented. PATHd8 is a nonparametric smoothing method that smoothes one pair of sister groups at a time, by taking the mean of the added branch lengths from a terminal taxon to a node. Because of the local smoothing, the algorithm is simple, hence providing stable and very fast analyses, allowing for thousands of taxa and an arbitrary number of age constraints.

The importance of fossil constraints and their placement are discussed, and concluded to be the most important factor for obtaining reasonable age estimates.

Different dating methods are compared, and it is concluded that differences in age estimates are obtained from penalized likelihood, PATHd8, and the Bayesian autocorrelation method implemented in the multidivtime program. In the Bayesian method, prior assumptions about evolutionary rate at the root, rate variance and the level of rate smoothing between internal edges, are suggested to influence the results.

APA, Harvard, Vancouver, ISO, and other styles
4

Doh, Yoonmee. "Voltage-clock scaling and scheduling for energy-constrained real-time systems." [Gainesville, Fla.] : University of Florida, 2003. http://purl.fcla.edu/fcla/etd/UFE0000675.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Suryadevara, Jagadish. "Model Based Development of Embedded Systems using Logical Clock Constraints and Timed Automata." Doctoral thesis, Mälardalens högskola, Akademin för innovation, design och teknik, 2013. http://urn.kb.se/resolve?urn=urn:nbn:se:mdh:diva-22328.

Full text
Abstract:
In modern times, human life is intrinsically depending on real-time embedded systems (RTES) with increasingly safety-critical and mission-critical features, for instance, in domains such as automotive and avionics. These systems are characterized by stringent functional requirements and require predictable timing behavior. However, the complexity of RTES has been ever increasing requiring systematic development methods. To address these concerns, model-based frameworks and component-based design methodologies have emerged as a feasible solution. Further, system artifacts such as requirements/specifications, architectural designs as well as behavioral models like statemachine views are integrated within the development process. However, several challenges remain to be addressed, out of which two are especially important: expressiveness, to represent the real-time and causality behavior, and analyzability, to support verification of functional and timing behavior. As the main research contribution, this thesis presents design and verification techniques for model-based development of RTES, addressing expressiveness and analyzability for architectural and behavioral models. To begin with, we have proposed a systematic design process to support component-based development. Next, we have provided a real-time semantic basis, in order to support expressiveness and verification for structural and behavioral models. This is achieved by defining an intuitive formal semantics for real-time component models, using ProCom, a component model developed at our research centre, and also using the CCSL (Clock Constraint Specification Language), an expressive language for specification of timed causality behavior. This paves the way for formal verification of both architectural and behavioral models, using model checking, as we show in this work, by transforming the models into timed automata and performing verification using UPPAAL, a model checking tool based on timed automata. Finally, the research contributions are validated using representative examples of RTES as well as an industrial case-study.
ARROWS
APA, Harvard, Vancouver, ISO, and other styles
6

Selbst, Andrew D. (Andrew David). "Clock division as a power saving strategy in a system constrained by high transmission frequency and low data rate." Thesis, Massachusetts Institute of Technology, 2005. http://hdl.handle.net/1721.1/33360.

Full text
Abstract:
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2005.
Includes bibliographical references (p. 63).
Systems are often restricted to have higher transmission frequency than required by their data rates. Possible constraints include channel attenuation, power requirements, and backward compatibility. As a result these systems have unused band- width, leading to inefficient use of power. In this thesis, I propose to slow the internal operating frequency of a cochlear implant receiver in order to reduce the internal power consumption by more than a factor of ten. I have created a new data encoding scheme, called "N-[pi] Shift Encoding", which makes clock division a viable solution. This clock division technique can be applied to other similarly constrained systems.
by Andrew D. Selbst.
M.Eng.
APA, Harvard, Vancouver, ISO, and other styles
7

Zussa, Loic. "Étude des techniques d'injection de fautes par violation de contraintes temporelles permettant la cryptanalyse physique de circuits sécurisés." Thesis, Saint-Etienne, EMSE, 2014. http://www.theses.fr/2014EMSE0757/document.

Full text
Abstract:
Si un algorithme cryptographique peut être mathématiquement sûr, son implémentation matérielle quant à elle est souvent la cible de nombreuses attaques. Cette thèse porte sur l'étude des mécanismes d'injection de fautes pouvant permettre une cryptanalyse physique des circuits sécurisés et sur la conception de contre-mesures matérielles pour empêcher ces attaques.Dans un premier temps une mise en pratique d'injection de fautes sur une implémentation matérielle de l'AES a été menée à l'aide d'attaques physiques : variations statiques et dynamiques de la tension, de la fréquence, de la température et de l'environnement électromagnétique. La comparaison des fautes injectées nous a permis de conclure que ces différentes attaques partagent un mécanisme d'injection identique : la violation de contraintes temporelles.La conception et l'implémentation d'un voltmètre intégré nous a permis d'observer les perturbations internes dues aux attaques par variations transitoires de la tension. Ces observations ont permis une meilleure compréhension du mécanisme d'injection de fautes associé et une amélioration de la précision temporelle de ces injections.Ensuite, un détecteur a été implémenté et son efficacité face à des attaques électromagnétiques a été étudiée. Du fait de la localité spatiale de ces attaques, la zone effectivement protégée par le détecteur est limitée. Une implémentation de plusieurs détecteurs a été suggérée.Enfin, un nouveau chemin d'attaque exploitant la sensibilité du détecteur a été proposé et validé expérimentalement
Even if a cryptographic algortihm could be mathematically secure, its physical implementation could be targeted by several attacks. This thesis focus on time-based fault injection mechanisms used for physical cryptanalysis of secure circuits.First, practical fault injections have been performed on a hardware AES implementation using non-invasive attacks : static and dynamic variations of the power supply voltage, frequency, temperature and electromagnetic environement. Then a comparison of these obtained faults led us to conclude that these different injection means share a common injection mecanism : timing constraints violations.An on-chip voltmeter has been designed and implemented to observe internal disturbences due to voltage glitchs. These observations led to a better understanding of the fault injection mecanism and to a better temporal accuracy.Then, a contermeasure has been designed and its effectiveness against electromagnetic attacks has been studied. Because of the electromagnetic pulses local effects, the aera effectively protected by the countermeasure is limited. The implementation of several countermeasures has been considered in order to extend the protected aera.Finally, a new attack path using the countermeasure detection threshold variations has been proposed and experimentaly validated. This attack exploit the electrical coupling between the AES and the coutnermeasure. Because of this coupling the countermeasure sensitivity variations are related to data handled by the AES
APA, Harvard, Vancouver, ISO, and other styles
8

Exurville, Ingrid. "Détection non destructive de modification malveillante de circuits intégrés." Thesis, Saint-Etienne, EMSE, 2015. http://www.theses.fr/2015EMSE0800/document.

Full text
Abstract:
L'exportation et la mutualisation des industries de fabrication des circuits intégrés impliquent de nombreuses interrogations concernant l'intégrité des circuits fabriqués. On se retrouve alors confronté au problème d'insertion d'une fonctionnalité dissimulée pouvant agir de façon cachée : on parle de Cheval de Troie Matériel (CTM). En raison de la complexité d'un circuit intégré, repérer ce genre de modification se révèle particulièrement difficile. Le travail proposé dans ce manuscrit s'oriente vers une technique de détection non destructrice de CTM. L’approche consiste à utiliser les temps de calculs internes du système étudié comme canal permettant de détecter des CTM. Dans ces travaux, un modèle décrivant les temps de calcul est défini. Il prend notamment en compte deux paramètres importants que sont les conditions expérimentales et les variations de procédés.Des attaques en faute par glitchs d’horloge basée sur la violation de contraintes temporelles permettent de mesurer des temps de calcul internes. Des cartes fiables sont utilisées pour servir de référence. Après avoir validé la pertinence de ce canal d’étude concernant l’obtention d’informations sur le comportement interne du circuit cible, on procède à des détections expérimentales de CTM insérés à deux niveaux d’abstraction (niveau RTL et après l'étape de placement/routage). Des traitements avec prise en compte des variations de procédés permettent d'identifier si les cartes testées sont infectées par un CTM
The globalization of integrated circuits fabrication involves several questions about the integrity of the fabricated circuits. Malicious modifications called Hardware Trojans (HT) can be introduced during the circuit production process. Due to the complexity of an integrated circuit, it is really difficult to find this kind of alterations.This work focuses on a non-destructive method of HT detection. We use the paths delays of the studied design as a channel to detect HT. A model to describe paths delays is defined. It takes into account two important parameters which are the experimental conditions and the process variations.Faults attacks by clock glitches based on timing constraints violations have been performed to measure data paths delays. Reliable circuits are used for reference. After validating the relevance of this channel to get information on the internal behavior of the targeted design, experimental detections of HT inserted on two different abstraction levels (RTL and after place and route) were achieved. Process variations are taken into consideration in the studies to detect if the tested circuits are infected
APA, Harvard, Vancouver, ISO, and other styles
9

Kao, Chih-Cheng, and 高志承. "KDL: Clustering-Based Structure ASIC Placer Considering Clock-Domain Constraint." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/42650807287737264719.

Full text
Abstract:
碩士
國立交通大學
資訊科學與工程研究所
98
Structure ASIC has emerged to fill the gap between ASIC and FPGA in recent years. To share more mask for amortizing the mask cost, the clock scheme is intrinsic and it makes placement stage more complicated by considering clock constraint. This work presents an algorithm to handle the placement problem of structure ASIC. First, our approach utilizes any existing standard-cell placer to generate an initial placement solution, and then we legalize this initial solution with simultaneously satisfying site type matching and clock constraint. The proposed clustering technique and clock pre-assignment algorithm consider node displacement and netlist distribution in clustering cells or assigning clock to complete legalization. After that, we apply an iterative block level wirelength improvement technique to further improve wirelenth. Experimental results indicate that our placer achieves 6% and 8% better wirelength than RegPlace [17] on average with mPL6 and Capo respectively; and incorporating with our algorithm, any existing standard-cell placer can complete structure ASIC placement with only small expense of CPU time.
APA, Harvard, Vancouver, ISO, and other styles
10

CHANG, CHUN-CHIANG, and 張鈞強. "Timing-Constrained Register Reassignment for Clock Skew Minimization." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/52574729278913537335.

Full text
Abstract:
碩士
中華大學
資訊工程學系碩士班
102
As technology scale down, process variation impacts on clock skew. In general, tree-based clock network cannot satisfy the skew requirement. Hence, routing redundancy in a mesh-based clock network must be applied to improve the tolerance and reliability for process variation. Besides that, clock skew can be further reduced in a mesh-based clock network. In a mesh-based clock network, the skew reduction can be estimated by using the skew reduction of the stub lengths on registers. In this paper, given a placement of connecting registers in a circuit inside a clock mesh and the setup and hold timing constrains of registers, a two-phase iterative reassignment algorithm is proposed to reassign the locations of all the registers under timing constrains for skew reduction in a mesh-based clock network. In the reassignment approach, based on the extraction of a safe timing-constrained region under setup and hold constrains, the registers with longer stub length are firstly reassigned to decrease the stub lengths on registers. Furthermore, the registers with shorter stub length are reassigned to increase the stub lengths on registers. After running two-phase iterative register reassignment, the skew of the stub lengths on register can be reduced. The experimental results show that our proposed algorithm can reassignment the locations of some registers to reduce 9.98% of the clock skew for the tested examples on the average.
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Clock constraint"

1

Reinecke, Juliane, Roy Suddaby, Ann Langley, and Haridimos Tsoukas, eds. Time, Temporality, and History in Process Organization Studies. Oxford University Press, 2020. http://dx.doi.org/10.1093/oso/9780198870715.001.0001.

Full text
Abstract:
Process studies of organizations focus attention on how and why organizational actions and structures emerge, develop, grow or terminate over time. Time, timing, and temporality, are inherent to organizational process studies, yet time remains an under-theorized construct that has struggled to move beyond chronological conceptions of “clock” time. Missing from this linear view are ongoing debates about objectivity versus subjectivity in the experience of time, linear versus alternative structures of time, or an appreciation of collective or culturally determined inferences of temporality. This is critical because our understanding of time and temporality can shape how we view and relate to organizational phenomena—as unfolding processes or stable objects. History is an equally important but under-theorized concept in organization studies. Organizational theorists have struggled to move beyond two limited conceptualizations of historical processes: history as a constraint on organizations’ capacity for change, or history as a unique source of competitive advantage. Both approaches suffer from the restrictive view of history as an objective set of “brute facts” that are exterior to the individuals, organizations, and collectives that experience them. The historical turn in management has triggered an effort to re-theorize history in organizations in a more nuanced manner, and management theory is acquiring a “historical consciousness”—an awareness of time, history, and memory as critical elements in processes of organizing. This volume draws together emerging strands of interest in adopting a more nuanced orientation toward time and history to better understand the temporal aspects of organizational processes.
APA, Harvard, Vancouver, ISO, and other styles
2

Churiwala, Sanjay, and Sridhar Gangadharan. Constraining Designs for Synthesis and Timing Analysis: A Practical Guide to Synopsys Design Constraints. Springer, 2013.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Churiwala, Sanjay, and Sridhar Gangadharan. Constraining Designs for Synthesis and Timing Analysis: A Practical Guide to Synopsys Design Constraints. Springer, 2015.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Constraining Designs for Synthesis and Timing Analysis. Springer New York, 2013.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Clock constraint"

1

Henz, Martin, Edgar Tan, and Roland Yap. "One Flip per Clock Cycle." In Principles and Practice of Constraint Programming — CP 2001, 509–23. Berlin, Heidelberg: Springer Berlin Heidelberg, 2001. http://dx.doi.org/10.1007/3-540-45578-7_35.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Zholtkevych, Grygoriy, Frédéric Mallet, Iryna Zaretska, and Galyna Zholtkevych. "Two Semantic Models for Clock Relations in the Clock Constraint Specification Language." In Information and Communication Technologies in Education, Research, and Industrial Applications, 190–209. Cham: Springer International Publishing, 2013. http://dx.doi.org/10.1007/978-3-319-03998-5_10.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Mallet, Frédéric, and Grygoriy Zholtkevych. "Coalgebraic Semantic Model for the Clock Constraint Specification Language." In Communications in Computer and Information Science, 174–88. Cham: Springer International Publishing, 2015. http://dx.doi.org/10.1007/978-3-319-17581-2_12.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Montin, Mathieu, and Marc Pantel. "Mechanizing the Denotational Semantics of the Clock Constraint Specification Language." In Model and Data Engineering, 385–400. Cham: Springer International Publishing, 2018. http://dx.doi.org/10.1007/978-3-030-00856-7_26.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Zhang, Min, and Frédéric Mallet. "An Executable Semantics of Clock Constraint Specification Language and Its Applications." In Communications in Computer and Information Science, 37–51. Cham: Springer International Publishing, 2016. http://dx.doi.org/10.1007/978-3-319-29510-7_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Zhang, Min, Fu Song, Frédéric Mallet, and Xiaohong Chen. "SMT-Based Bounded Schedulability Analysis of the Clock Constraint Specification Language." In Fundamental Approaches to Software Engineering, 61–78. Cham: Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-16722-6_4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Liu, Shuai, Rui Guo, Xiaojie Li, and Qian Chen. "Evaluation and Analysis of Orbit Determination Accuracy of BDS Satellite Under Clock Offset Constraint." In Lecture Notes in Electrical Engineering, 83–96. Singapore: Springer Singapore, 2020. http://dx.doi.org/10.1007/978-981-15-3711-0_8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Zhu, Qing K. "Overview to Timing Constraints." In High-Speed Clock Network Design, 23–40. Boston, MA: Springer US, 2003. http://dx.doi.org/10.1007/978-1-4757-3705-9_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Gray, C. Thomas, Wentai Liu, and Ralph K. Cavin. "Clock Period Constraints: Single Stage Systems." In The Kluwer International Series in Engineering and Computer Science, 13–44. Boston, MA: Springer US, 1994. http://dx.doi.org/10.1007/978-1-4615-3206-4_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Gray, C. Thomas, Wentai Liu, and Ralph K. Cavin. "Clock Period Constraints: Multiple Stage Systems." In The Kluwer International Series in Engineering and Computer Science, 45–60. Boston, MA: Springer US, 1994. http://dx.doi.org/10.1007/978-1-4615-3206-4_3.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Clock constraint"

1

Andre, Charles, Frederic Mallet, and Julien DeAntoni. "VHDL observers for clock constraint checking." In 2010 International Symposium on Industrial Embedded Systems (SIES). IEEE, 2010. http://dx.doi.org/10.1109/sies.2010.5551372.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Haghbayan, M. H., S. Safari, and Z. Navabi. "Power constraint testing for multi-clock domain SoCs using concurrent hybrid BIST." In 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS). IEEE, 2012. http://dx.doi.org/10.1109/ddecs.2012.6219022.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Lei Cheng, Deming Chen, Martin D. F. Wong, Mike Hutton, and Jason Govig. "Timing constraint-driven technology mapping for FPGAs considering false paths and multi-clock domains." In 2007 IEEE/ACM International Conference on Computer-Aided Design. IEEE, 2007. http://dx.doi.org/10.1109/iccad.2007.4397292.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Uysal, Necati, Wen-Hao Liu, and Rickard Ewetz. "Latency constraint guided buffer sizing and layer assignment for clock trees with useful skew." In ASPDAC '19: 24th Asia and South Pacific Design Automation Conference. New York, NY, USA: ACM, 2019. http://dx.doi.org/10.1145/3287624.3287681.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Zhang, Min, and Yunhui Ying. "Towards SMT-based LTL model checking of clock constraint specification language for real-time and embedded systems." In LCTES '17: SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2017. New York, NY, USA: ACM, 2017. http://dx.doi.org/10.1145/3078633.3081035.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Starling, Alex C., and Kristina Shea. "A Clock Grammar: The Use of a Parallel Grammar in Performance-Based Mechanical Synthesis." In ASME 2002 International Design Engineering Technical Conferences and Computers and Information in Engineering Conference. ASMEDC, 2002. http://dx.doi.org/10.1115/detc2002/dtm-34026.

Full text
Abstract:
Effective methods of computational synthesis for mechanical systems must represent both function and structure in order to generate physical designs with desired behaviors. To this aim, a parallel grammar for mechanical synthesis was developed based on a Function-Behavior-Structure design model. This parallel grammar was implemented for the domain of mechanical clocks and watches in order to demonstrate the flexibility and strengths of the approach. Designs were produced using a fully parametric parts library. Incorporating performance considerations, generate-and-test methods were then used to produce clock designs that satisfy different sets of spatial constraints to demonstrate the potential of the method for general mechanical synthesis problems.
APA, Harvard, Vancouver, ISO, and other styles
7

Suryakumar, Mahadevan, Lu-Vong T. Phan, Mathew Ma, and Wajahat Ahmed. "Dual Die Package Design Strategy and Performance." In ASME 2005 Pacific Rim Technical Conference and Exhibition on Integration and Packaging of MEMS, NEMS, and Electronic Systems collocated with the ASME 2005 Heat Transfer Summer Conference. ASMEDC, 2005. http://dx.doi.org/10.1115/ipack2005-73391.

Full text
Abstract:
The alarming growth of power increase has presented numerous packaging challenges for high performance processors. The average power consumed by a processor is the sum of dynamic and leakage power. The dynamic power is proportional to V^2, while the leakage current (therefore leakage power) is proportional to V^b where V is the voltage and b>1 for modern processes. This means lowering voltage reduces energy consumed per clock cycle but reduces the maximum frequency at which the processor can operate at. Since reducing voltage reduces power faster than it does frequency, integrating more cores into the processor would result in better performance/power efficiency but would generate more memory accesses, driving a need for larger cache and high speed signaling [1]. In addition, the design goal to create unified package pinout for both single core and multicore product flavors adds additional constraint to create a cost effective package solution for both market segments. This paper discusses the design strategy and performance of dual die package to optimize package performance for cost.
APA, Harvard, Vancouver, ISO, and other styles
8

"Clock Period Constrained Minimal Buffer Insertion In Clock Trees." In IEEE/ACM International Conference on Computer-Aided Design. IEEE, 1994. http://dx.doi.org/10.1109/iccad.1994.629769.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Ang, Chin Hai. "Single Test Clock with Programmable Clock Enable Constraints for Multi-clock Domain SoC ATPG Testing." In 2013 22nd Asian Test Symposium (ATS). IEEE, 2013. http://dx.doi.org/10.1109/ats.2013.44.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Yang, Shun-Cheng, and Shih-Hsu Huang. "Non-uniform clock mesh synthesis under temperature constraints." In 2017 International Conference on Electron Devices and Solid-State Circuits (EDSSC). IEEE, 2017. http://dx.doi.org/10.1109/edssc.2017.8126499.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography