Academic literature on the topic 'Compaction delay'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Compaction delay.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Compaction delay"

1

van Thienen-Visser, K., J. P. Pruiksma, and J. N. Breunese. "Compaction and subsidence of the Groningen gas field in the Netherlands." Proceedings of the International Association of Hydrological Sciences 372 (November 12, 2015): 367–73. http://dx.doi.org/10.5194/piahs-372-367-2015.

Full text
Abstract:
Abstract. The Groningen gas field in the Netherlands is Europe's largest gas field. It has been produced since 1963 and production is expected to continue until 2080. The pressure decline in the field causes compaction in the reservoir which is observed as subsidence at the surface. Measured subsidence is characterized by a delay at the start of production. As linear compaction models cannot explain this behavior, alternative compaction models (e.g. Rate Type Compaction Model and Time Decay model) have been investigated that may explain the measured subsidence. Although the compaction models considered in this study give a good match to this delay, their forecasts are significantly different. Future measurements of subsidence in this area will indicate which type of compaction model is preferred. This will lead to better forecasts of subsidence in future. The pattern of over- and underestimation of the subsidence is similar for the compaction models investigated and tested. The pattern can be explained by differences in modeled porosity and aquifer activity illustrating the improvement of subsurface knowledge on the reservoir using subsidence measurements.
APA, Harvard, Vancouver, ISO, and other styles
2

Nanthavisit, Patcharapan, Peerapong Jitsangiam, Hamid Nikraz, and Preda Pichayapan. "Shrinkage Characteristics and Modeling of Cement Stabilized Road Pavement Bases: A Compaction Delay Investigation." Key Engineering Materials 775 (August 2018): 610–17. http://dx.doi.org/10.4028/www.scientific.net/kem.775.610.

Full text
Abstract:
One of the main failure modes of a cement-stabilized road pavement base is the shrinkage cracking which could lead to negative consequences up to the failure of road pavements. The compaction time delay and cement content inherently affect to the shrinkage characteristics of the cement stabilized base course. This research aims to investigate the shrinkage characteristics with respect to the compaction time delay of a cement-stabilized base material through laboratory experiments. A series of shrinkage tests were performed on cement stabilized base samples with varying 3%, 4% and 5% of cement contents under controlled compaction delay periods varied from 0.5 hours to 1 day. The results of this study showed that shrinkage values of the study cement stabilized base increase with longer compaction time delay periods and cement contents. In addition, during an early stage (1-14 days) of shrinkage tests, shrinkage sharply increases before reaching the stage of a relatively constant rate after 14 days of testing. It would also be further notice that around 80% of the maximum shrinkage values from all tests gains in a test period between 14-21 days out of 42 days of a total shrinkage measurement period. Finally, the mathematic shrinkage model was formulated based on the test results of the study. In the model, the main factors of compaction delay time, cement content, and curing periods were used as the model variables. Shrinkage values can be predicted with a reliability of the R2 value of 0.6755.
APA, Harvard, Vancouver, ISO, and other styles
3

Pilarski, S., and K. J. Wiebe. "Counter-based compaction: Delay and stuck-open faults." IEEE Transactions on Computers 44, no. 6 (June 1995): 780–91. http://dx.doi.org/10.1109/12.391183.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Brooks, R. M., F. Udoeyo, and K. V. Takkalapelli. "Compaction delay characteristics of clay with cement kiln dust." Proceedings of the Institution of Civil Engineers - Geotechnical Engineering 162, no. 5 (October 2009): 283–86. http://dx.doi.org/10.1680/geng.2009.162.5.283.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Osinubi, Kolawole J., and Charles M. Nwaiwu. "Compaction Delay Effects on Properties of Lime-Treated Soil." Journal of Materials in Civil Engineering 18, no. 2 (April 2006): 250–58. http://dx.doi.org/10.1061/(asce)0899-1561(2006)18:2(250).

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Sprenger, Alexander, and Sybille Hellebrand. "Divide and Compact — Stochastic Space Compaction for Faster-than-at-Speed Test." Journal of Circuits, Systems and Computers 28, supp01 (December 1, 2019): 1940001. http://dx.doi.org/10.1142/s0218126619400012.

Full text
Abstract:
With shrinking feature sizes detecting small delay faults is getting more and more important. But not all small delay faults are detectable during at-speed test. By overclocking the circuit with several different test frequencies faster-than-at-speed test (FAST) is able to detect these hidden delay faults. If the clock frequency is increased, some outputs of the circuit may not have stabilized yet, and these outputs have to be considered as unknown ([Formula: see text]-values). These [Formula: see text]-values impede the test response compaction. In addition, the number and distribution of the [Formula: see text]-values vary with the clock frequency, and thus a very flexible [Formula: see text]-handling is needed for FAST. Most of the state-of-the-art solutions are not designed for these varying [Formula: see text]-profiles. Yet, the stochastic compactor by Mitra et al. can be adjusted to changing environments. It is easily programmable because it is controlled by weighted pseudo-random signals. But an optimal setup cannot be guaranteed in a FAST scenario. By partitioning the compactor into several smaller ones and a proper mapping of the scan outputs to the compactor inputs, the compactor can be better adapted to the varying [Formula: see text]-profiles. Finding the best setup can be formulated as a set partitioning problem. To solve this problem, several algorithms are presented. Experimental results show that independent from the scan chain configuration, the number of [Formula: see text]-values can be reduced significantly while the fault efficiency can be maintained. Additionally, it is shown that [Formula: see text]-reduction and fault efficiency can be adapted to user-defined goals.
APA, Harvard, Vancouver, ISO, and other styles
7

Wei, Chen, Huang Zhijun, Tang Yulong, and Duan Dahong. "Experimental Study on the Pavement Performance of Cement-Improved Silty Fine Sand." E3S Web of Conferences 276 (2021): 02018. http://dx.doi.org/10.1051/e3sconf/202127602018.

Full text
Abstract:
In view of the fact that there is in shortage of superior roadbed fillers in gobi and desert areas and based on the economical and environment-friendly concept, the cement-improved silty fine sand will be used as the roadbed filler of a railway construction project, which has the engineering characteristics of difficult compaction of local silty fine sands and loose structure, within the territory of Jiuquan, Gansu Province.The test indicated that the actual silty fine sands presented relatively concentrated particle sizes in this project, the particle composition was uniform and difficult to compact. The 7d saturated unconfined compressive strength of the cement-improved silty fine sand filler was correlated with the cement content, curing age and compaction coefficient, and also showed a good linear fitting relation with the cement content. As the delay time of sample molding was lengthened, the 7d saturated unconfined compressive strength of the samples was gradually reduced, and the strength retention rate had a favorable fitting relation with the delay time of sample molding. The compaction coefficient was detected using the sand-cone method in the field compaction test, and on this basis, the “ex post detection” method was proposed to detect the compaction coefficient of this special type of fillers.
APA, Harvard, Vancouver, ISO, and other styles
8

Sivapullaiah, P. V., J. P. Prashanth, and A. Sridharan. "Delay in compaction and importance of the lime fixation point on the strength and compaction characteristics of soil." Proceedings of the Institution of Civil Engineers - Ground Improvement 2, no. 1 (January 1998): 27–32. http://dx.doi.org/10.1680/gi.1998.020105.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kooi, H., and J. J. de Vries. "Land subsidence and hydrodynamic compaction of sedimentary basins." Hydrology and Earth System Sciences 2, no. 2/3 (September 30, 1998): 159–71. http://dx.doi.org/10.5194/hess-2-159-1998.

Full text
Abstract:
Abstract. A one-dimensional model is used to investigate the relationship between land subsidence and compaction of basin sediments in response to sediment loading. Analysis of the model equations and numerical experiments demonstrate quasi-linear systems behaviour and show that rates of land subsidence due to compaction: (i) can attain a significant fraction (>40%) of the long-term sedimentation rate; (ii) are hydrodynamically delayed with respect to sediment loading. The delay is controlled by a compaction response time τc that can reach values of 10-5-107 yr for thick shale sequences. Both the behaviour of single sediment layers and multiple-layer systems are analysed. Subsequently the model is applied to the coastal area of the Netherlands to illustrate that lateral variability in compaction-derived land subsidence in sedimentary basins largely reflects the spatial variability in both sediment loading and compaction response time. Typical rates of compaction-derived subsidence predicted by the model are of the order of 0.1 mm/yr but may reach values in excess of 1 mm/yr under favourable conditions.
APA, Harvard, Vancouver, ISO, and other styles
10

Chen, Jin, Zhi Yu Xiao, Chao Jie Li, San Cai Deng, Tung Wai Leo Ngai, and Yuan Yuan Li. "High Velocity Compaction of 316L Stainless Powder." Applied Mechanics and Materials 44-47 (December 2010): 2993–97. http://dx.doi.org/10.4028/www.scientific.net/amm.44-47.2993.

Full text
Abstract:
High velocity compaction technology was used to press 316L stainless powders. Effects of impact times on stress wave, green density and ejection force were analyzed. It was found that under the same total impact energy, the first loading time and the actuation duration of the second impact in double impact process were longer when compared with single impact process, while the first delay time was shorter. Furthermore, the green density of compacts prepared by double impact was greater than that prepared by single impact, but no obvious variation in maximum ejection force can be observed between single impact and double impact process.
APA, Harvard, Vancouver, ISO, and other styles
More sources

Dissertations / Theses on the topic "Compaction delay"

1

Jha, Sharada. "Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults." Diss., University of Iowa, 2013. https://ir.uiowa.edu/etd/2533.

Full text
Abstract:
With rapid advancement in science and technology and decreasing feature size of transistors, the complexity of VLSI designs is constantly increasing. With increasing density and complexity of the designs, the probability of occurrence of defects also increases. Therefore testing of designs becomes essential in order to guarantee fault-free operation of devices. Testing of VLSI designs involves generation of test patterns, test pattern application and identification of defects in design. In case of scan based designs, the test set size directly impacts the test application time which is determined by the number of memory elements in the design and the test storage requirements. There are various methods in literature which are used to address the issue of large test set size classified as static or dynamic compaction methods depending on whether the test compaction algorithm is performed as a post-processing step after test generation or is integrated within the test generation. In general, there is a trade-off between the test compaction achievable and the run-time. Methods which are computationally intensive might provide better compaction, however, might have longer run times owing to the complexity of the algorithm. In the first part of the thesis we address the problem of large test set size in partially scanned designs by proposing an incremental dynamic compaction method. Typically, the fault coverage curve of designs ramp up very quickly in the beginning and later slows down and ultimately the curve flattens towards the tail of the curve. In the initial phase of test generation a greedy compaction method is used because initially there are easy-to-detect faults and the scope for compaction is better. However, in the later portion of the curve, there are hard-to-detect faults which affect compaction and we propose to use a dynamic compaction approach. We propose a novel mechanism to identify redundant faults during dynamic compaction to avoid targeting them later. The effectiveness of method is demonstrated on industrial designs and test size reduction of 30% is achieved. As the device complexity is increasing, delay defects are also increasing. Speed path debug is necessary in order to meet performance requirements. Speed paths are the frequency limiting paths in a design identified during debug. Speed paths can be tested using functional patterns, transition n-detect patterns or path delay patterns. However, usage of functional patterns for speed path debug is expensive because generation of functional patterns is expensive and the application cost is also high because the number of patterns is large and requires functional testers. In the second part of the dissertation we propose a simple path sensitization approach that can be used to generate pseudo-robust tests, which are near robust tests and can be used for designs that have multiple clock domains. The fault coverage for path delay fault APTG can be further improved by dividing the paths that are not testable under pseudo robust conditions, into shorter sub-paths. The effectiveness of the method is demonstrated on industrial designs.
APA, Harvard, Vancouver, ISO, and other styles
2

Wang, Jing. "Power supply noise in delay testing." [College Station, Tex. : Texas A&M University, 2007. http://hdl.handle.net/1969.1/ETD-TAMU-1428.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Ali, Hatim F. A. "Assessment of lime-treated clays under different environmental conditions." Thesis, University of Bradford, 2019. http://hdl.handle.net/10454/18313.

Full text
Abstract:
Natural soils in work-sites are sometimes detrimental to the construction of engineering projects. Problematic soils such as soft and expansive soils are a real source of concern to the long-term stability of structures if care is not taken. Expansive soils could generate immense distress due to their volume change in response to a slight change in their water content. On the other hand, soft soils are characterised by their low shear strength and poor workability. In earthwork, replacing these soils is sometimes economically and sustainably unjustifiable in particular if they can be stabilised to improve their behaviour. Several techniques have evolved to enable construction on problematic soils such as reinforcement using fibre and planar layers and piled reinforced embankments. Chemical treatment using, e.g. lime and/or cement is an alternative method to seize the volume change of swelling clays. The use of lime as a binding agent is becoming a popular method due to its abundant availability and cost-effectiveness. When mixed with swelling clays, lime enhances the mechanical properties, workability and reduces sensitivity to absorption and release of water. There is a consensus in the literature about the primary mechanisms, namely cation exchange, flocculation and pozzolanic reaction, which cause the changes in the soil characteristics after adding lime in the presence of water. The dispute is about whether these mechanisms occur in a sequential or synchronous manner. More precisely, the controversy concerns the formation of cementitious compounds in the pozzolanic reaction, whether it starts directly or after the cation exchange and flocculation are completed. The current study aims to monitor the signs of the formation of such compounds using a geotechnical approach. In this context, the effect of delayed compaction, lime content, mineralogy composition, curing time and environmental temperature on the properties of lime-treated clays were investigated. The compaction, swelling and permeability, and unconfind compression strength tests were chosen to evaluate such effect. In general, the results of the geotechnical approach have been characterised by their scattering. The sources of this dispersion are numerous and include sampling methods, pulverisation degree, mixing times and delay of compaction process, a pre-test temperature and humidity, differences in dry unit weight values, and testing methods. Therefore, in the current study, several precautions have been set to reduce the scattering in the results of such tests so that they can be used efficiently to monitor the evolution in the properties that are directly related to the formation and development of cementitious compounds. Four clays with different mineralogy compositions, covering a wide range of liquid limits, were chosen. The mechanical and hydraulic behaviour of such clays that had been treated by various concentrations of lime up to 25% at two ambient temperatures of 20 and 40oC were monitored for various curing times. The results indicated that the timing of the onset of changes in mechanical and hydraulic properties that are related to the formation of cementitious compounds depends on the mineralogy composition of treated clay and ambient temperature. Moreover, at a given temperature, the continuity of such changes in the characteristics of a given lime-treated clay depends on the lime availability.
APA, Harvard, Vancouver, ISO, and other styles
4

Michener, John E. "Effects of Environmental Factors on Construction of Soil-Cement Pavement Layers." Diss., CLICK HERE for online access, 2008. http://contentdm.lib.byu.edu/ETD/image/etd2630.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Zheng, Ji-Feng, and 鄭吉峰. "Static Compaction Algorithm for Clock Delay Faults." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/53196358586486845485.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Wang, Zheng. "High Quality Compact Delay Test Generation." Thesis, 2010. http://hdl.handle.net/1969.1/ETD-TAMU-2010-05-7976.

Full text
Abstract:
Delay testing is used to detect timing defects and ensure that a circuit meets its timing specifications. The growing need for delay testing is a result of the advances in deep submicron (DSM) semiconductor technology and the increase in clock frequency. Small delay defects that previously were benign now produce delay faults, due to reduced timing margins. This research focuses on the development of new test methods for small delay defects, within the limits of affordable test generation cost and pattern count. First, a new dynamic compaction algorithm has been proposed to generate compacted test sets for K longest paths per gate (KLPG) in combinational circuits or scan-based sequential circuits. This algorithm uses a greedy approach to compact paths with non-conflicting necessary assignments together during test generation. Second, to make this dynamic compaction approach practical for industrial use, a recursive learning algorithm has been implemented to identify more necessary assignments for each path, so that the path-to-test-pattern matching using necessary assignments is more accurate. Third, a realistic low cost fault coverage metric targeting both global and local delay faults has been developed. The metric suggests the test strategy of generating a different number of longest paths for each line in the circuit while maintaining high fault coverage. The number of paths and type of test depends on the timing slack of the paths under this metric. Experimental results for ISCAS89 benchmark circuits and three industry circuits show that the pattern count of KLPG can be significantly reduced using the proposed methods. The pattern count is comparable to that of transition fault test, while achieving higher test quality. Finally, the proposed ATPG methodology has been applied to an industrial quad-core microprocessor. FMAX testing has been done on many devices and silicon data has shown the benefit of KLPG test.
APA, Harvard, Vancouver, ISO, and other styles
7

Lahiri, Shayak. "Pseudofunctional Delay Tests For High Quality Small Delay Defect Testing." Thesis, 2011. http://hdl.handle.net/1969.1/ETD-TAMU-2011-12-10266.

Full text
Abstract:
Testing integrated circuits to verify their operating frequency, known as delay testing, is essential to achieve acceptable product quality. The high cost of functional testing has driven the industry to automatically-generated structural tests, applied by low-cost testers taking advantage of design-for-test (DFT) circuitry on the chip. Traditional at-speed functional testing of digital circuits is increasingly challenged by new defect types and the high cost of functional test development. This research addressed the problems of accurate delay testing in DSM circuits by targeting resistive open and short circuits, while taking into account manufacturing process variation, power dissipation and power supply noise. In this work, we developed a class of structural delay tests in which we extended traditional launch-on-capture delay testing to additional launch and capture cycles. We call these Pseudofunctional Tests (PFT). A test pattern is scanned into the circuit, and then multiple functional clock cycles are applied to it with at-speed launch and capture for the last two cycles. The circuit switching activity over an extended period allows the off-chip power supply noise transient to die down prior to the at-speed launch and capture, achieving better timing correlation with the functional mode of operation. In addition, we also proposed advanced compaction methodologies to compact the generated test patterns into a smaller test set in order to reduce the test application time. We modified our CodGen K longest paths per gate automatic test pattern generator to implement PFT pattern generation. Experimental results show that PFT test generation is practical in terms of test generation time.
APA, Harvard, Vancouver, ISO, and other styles
8

Jiang, Zhongwei. "Low Cost Power and Supply Noise Estimation and Control in Scan Testing of VLSI Circuits." Thesis, 2010. http://hdl.handle.net/1969.1/ETD-TAMU-2010-12-8915.

Full text
Abstract:
Test power is an important issue in deep submicron semiconductor testing. Too much power supply noise and too much power dissipation can result in excessive temperature rise, both leading to overkill during delay test. Scan-based test has been widely adopted as one of the most commonly used VLSI testing method. The test power during scan testing comprises shift power and capture power. The power consumed in the shift cycle dominates the total power dissipation. It is crucial for IC manufacturing companies to achieve near constant power consumption for a given timing window in order to keep the chip under test (CUT) at a near constant temperature, to make it easy to characterize the circuit behavior and prevent delay test over kill. To achieve constant test power, first, we built a fast and accurate power model, which can estimate the shift power without logic simulation of the circuit. We also proposed an efficient and low power X-bit Filling process, which could potentially reduce both the shift power and capture power. Then, we introduced an efficient test pattern reordering algorithm, which achieves near constant power between groups of patterns. The number of patterns in a group is determined by the thermal constant of the chip. Experimental results show that our proposed power model has very good correlation. Our proposed X-Fill process achieved both minimum shift power and capture power. The algorithm supports multiple scan chains and can achieve constant power within different regions of the chip. The greedy test pattern reordering algorithm can reduce the power variation from 29-126 percent to 8-10 percent or even lower if we reduce the power variance threshold. Excessive noise can significantly affect the timing performance of Deep Sub-Micron (DSM) designs and cause non-trivial additional delay. In delay test generation, test compaction and test fill techniques can produce excessive power supply noise. This can result in delay test overkill. Prior approaches to power supply noise aware delay test compaction are too costly due to many logic simulations, and are limited to static compaction. We proposed a realistic low cost delay test compaction flow that guardbands the delay using a sequence of estimation metrics to keep the circuit under test supply noise more like functional mode. This flow has been implemented in both static compaction and dynamic compaction. We analyzed the relationship between delay and voltage drop, and the relationship between effective weighted switching activity (WSA) and voltage drop. Based on these correlations, we introduce the low cost delay test pattern compaction framework considering power supply noise. Experimental results on ISCAS89 circuits show that our low cost framework is up to ten times faster than the prior high cost framework. Simulation results also verify that the low cost model can correctly guardband every path‟s extra noise-induced delay. We discussed the rules to set different constraints in the levelized framework. The veto process used in the compaction can be also applied to other constraints, such as power and temperature.
APA, Harvard, Vancouver, ISO, and other styles
9

Ali, Hatim, and Mostafa H. A. Mohamed. "The effects of compaction delay and environmental temperature on the Mechanical and Hydraulic properties of lime-stabilized extremely high plastic clays." 2017. http://hdl.handle.net/10454/13384.

Full text
Abstract:
yes
A comprehensive experimental programme was performed with the focus on assessing the effects of compaction delay and ambient temperature on the physical, mechanical and hydraulic properties of lime treated expansive clays. Specimens were mellowed for a period of 0, 3, 6, 12, 24 and 48 h at two different temperatures of 20°C and 40°C prior to being compacted, tested and/or cured for up to 28 days for evaluating the impacts on long-term strength development. All specimens were prepared with the same dry unit weight of 12.16 kN/m3 and moisture content of 40% except for tests aimed at determining dry unit weight as a function of mellowing period. The results revealed that as the mellowing duration increased the dry unit weight declined remarkably at both temperature within the first 12 h. In addition, higher reduction rate was observed when specimens were mel-lowed at a temperature of 40°C. A 97% reduction in swelling pressure was obtained when the specimens were compacted upon mixing (zero hour mellowing period) and left to cure for 24 h prior to testing. Permeability coefficient of lime treated expansive clays was increased by up to 40 times when compaction was delayed for 24 h or when specimens were mellowed at 40°C. Specimens mellowed at a temperature of 40°C showed rela-tively stable values of permeability coefficient over the measurement period which could be attributable to accelerated pozzolanic reaction. The Unconfined Compressive Strength tests revealed that strength of lime treated expansive clays is significantly affected by compaction delay. An increase of 234% and 282% in the Unconfined Compressive Strength was achieved after 24 h of mixing with no compaction delay at 20°C and 40°C respectively. Gradual long-term gain in strength was observable within the 28 days post mixing but the rate of strength gain becomes slower and independent of temperature after the first 24 h of mixing. The results sug-gested that the four key reaction mechanisms occur concurrently with the first 12–24 h after lime addition recognized as being the most crucial period of time. Damaging the cementitious compounds by delayed com-paction is harmful to strength and restraining of swelling potential of lime treated expansive clays.
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Compaction delay"

1

Karatasakis, G., and G. D. Athanassopoulos. Cardiomyopathies. Oxford University Press, 2011. http://dx.doi.org/10.1093/med/9780199599639.003.0019.

Full text
Abstract:
Echocardiography is a key diagnostic method in the management of patients with cardiomyopathies.The main echocardiographic findings of hypertrophic cardiomyopathy are asymmetric hypertrophy of the septum, increased echogenicity of the myocardium, systolic anterior motion, turbulent left ventricular (LV) outflow tract blood flow, intracavitary gradient of dynamic nature, mid-systolic closure of the aortic valve and mitral regurgitation. The degree of hypertrophy and the magnitude of the obstruction have prognostic meaning. Echocardiography plays a fundamental role not only in diagnostic process, but also in management of patients, prognostic stratification, and evaluation of therapeutic intervention effects.In idiopathic dilated cardiomyopathy, echocardiography reveals dilation and impaired contraction of the LV or both ventricles. The biplane Simpson’s method incorporates much of the shape of the LV in calculation of volume; currently, three-dimensional echocardiography accurately evaluates LV volumes. Deformation parameters might be used for detection of early ventricular involvement. Stress echocardiography using dobutamine or dipyridamole may contribute to risk stratification, evaluating contractile reserve and left anterior descending flow reserve. LV dyssynchrony assessment is challenging and in patients with biventricular pacing already applied, optimization of atrio-interventricular delays should be done. Specific characteristics of right ventricular dysplasia and isolated LV non-compaction can be recognized, resulting in an increasing frequency of their prevalence. Rare forms of cardiomyopathy related with neuromuscular disorders can be studied at an earlier stage of ventricular involvement.Restrictive and infiltrative cardiomyopathies are characterized by an increase in ventricular stiffness with ensuing diastolic dysfunction and heart failure. A variety of entities may produce this pathological disturbance with amyloidosis being the most prevalent. Storage diseases (Fabry, Gaucher, Hurler) are currently treatable and early detection of ventricular involvement is of paramount importance for successful treatment. Traditional differentiation between constrictive pericarditis (surgically manageable) and the rare cases of restrictive cardiomyopathy should be properly performed.
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Compaction delay"

1

Kumar, B. Siva Manikanta, Ch Sreenivasulu, and Suresh Prasad Singh. "Effects of Delay Time on Compaction and Strength Properties of Stabilized Granular Soil." In Lecture Notes in Civil Engineering, 739–52. Singapore: Springer Singapore, 2021. http://dx.doi.org/10.1007/978-981-33-6444-8_66.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Orr, David W. "The Carbon Connection." In Down to the Wire. Oxford University Press, 2009. http://dx.doi.org/10.1093/oso/9780195393538.003.0011.

Full text
Abstract:
Having seen pictures of the devastation did not prepare me for the reality of New Orleans. Mile after mile of wrecked houses, demolished cars, piles of debris, twisted and downed trees, and dried mud everywhere. We stopped every so often to look into abandoned houses in the 9th Ward and along the shore of Lake Pontchartrain to see things close up: mud lines on the walls, overturned furniture, moldy clothes still hanging in closets, broken toys, a lens from a pair of glasses . . . once cherished and useful objects rendered into junk. Each house had a red circle painted on the front to indicate the results of the search for bodies. Some houses showed the signs of desperation, such as holes punched through ceilings as people tried to escape rising water. The musty smell of decay was everywhere, overlaid with an oily stench. Despair hung like Spanish moss in the hot, dank July air. Ninety miles to the south, the Louisiana delta is rapidly sinking below the rising waters of the Gulf. This is no “natural” process but rather the result of decades of mismanagement of the lower Mississippi, which became federal policy after the great flood of 1927. Sediments that built the richest and most fecund wetlands in the world are now deposited off the continental shelf—part of an ill-conceived effort to tame the river. The result is that the remaining wetlands, starved for sediment, are both eroding and compacting, sinking below the water and perilously close to no return. Oil extraction has done most of the rest of the damage by crisscrossing the marshlands with channels that allow the intrusion of saltwater and storm surges. Wakes from boats have widened the original channels considerably, further unraveling the ecology of the region. The richest fishery in North America and a unique culture that once thrived in the delta are disappearing, and with them the buffer zone that protects New Orleans from hurricanes. “Every 2.7 miles of marsh grass,” in Mike Tidwell’s words, “absorbs a foot of a hurricane’s storm surge” (2003, p. 57).
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Compaction delay"

1

Wang, Zheng, and D. M. H. Walker. "Dynamic Compaction for High Quality Delay Test." In 26th IEEE VLSI Test Symposium (vts 2008). IEEE, 2008. http://dx.doi.org/10.1109/vts.2008.54.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Kajihara, S., M. Fukunaga, Xiaoqing Wen, T. Maeda, S. Hamada, and Y. Sato. "Path delay test compaction with process variation tolerance." In 2005 42nd Design Automation Conference. IEEE, 2005. http://dx.doi.org/10.1109/dac.2005.193933.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Kajihara, Seiji, Masayasu Fukunaga, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, and Yasuo Sato. "Path delay test compaction with process variation tolerance." In the 42nd annual conference. New York, New York, USA: ACM Press, 2005. http://dx.doi.org/10.1145/1065579.1065802.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Bian, Kun, D. M. H. Walker, Sunil P. Khatri, and Shayak Lahiri. "Mixed structural-functional path delay test generation and compaction." In 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS). IEEE, 2013. http://dx.doi.org/10.1109/dft.2013.6653575.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Fukunaga, Masayasu, Seiji Kajihara, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, and Yasuo Sato. "A dynamic test compaction procedure for high-quality path delay testing." In the 2006 conference. New York, New York, USA: ACM Press, 2006. http://dx.doi.org/10.1145/1118299.1118388.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Hasan, Shehzad, Ajoy K. Palit, and Walter Anheier. "Test Pattern Generation and Compaction for Crosstalk Induced Glitches and Delay Faults." In 2010 23rd International Conference on VLSI Design: concurrently with the 9th International Conference on Embedded Systems Design (VLSID). IEEE, 2010. http://dx.doi.org/10.1109/vlsi.design.2010.30.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Jiang, Zhongwei, Zheng Wang, Jing Wang, and D. M. H. Walker. "Levelized low cost delay test compaction considering IR-drop induced power supply noise." In 2011 IEEE VLSI Test Symposium (VTS). IEEE, 2011. http://dx.doi.org/10.1109/vts.2011.5783754.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Pomeranz, Irith. "Static test compaction for delay fault test sets consisting of broadside and skewed-load tests." In 2011 IEEE VLSI Test Symposium (VTS). IEEE, 2011. http://dx.doi.org/10.1109/vts.2011.5783760.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Hasan, Mohd Rosli Mohd, Meor Othman Hamzah, and Rosmawati Abdul Razak. "Effects of compaction delay on the performance of porous asphalt mixture compacted at different thicknesses." In 3RD ELECTRONIC AND GREEN MATERIALS INTERNATIONAL CONFERENCE 2017 (EGM 2017). Author(s), 2017. http://dx.doi.org/10.1063/1.5002340.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Wijaya, Nur, and James Sheng. "Effects of Imbibition During Well Shut-In on Ultimate Shale Oil Recovery: A Numerical Study." In SPE Western Regional Meeting. SPE, 2021. http://dx.doi.org/10.2118/200875-ms.

Full text
Abstract:
Abstract Shale wells are often shut-in after hydraulic fracturing is finished. Shut-in often lasts for an extended period in the perceived hope to improve the ultimate oil recovery. However, current literature does not show a strong consensus on whether shut-in will improve the ultimate oil recovery. Because of the delayed production, evaluating the benefits of shut-in in improving the ultimate oil recovery is crucial. Otherwise, shut-in would merely delay the production and harm the economic performance. This paper uses a numerical flow-geomechanical modeling approach to investigate the effect of imbibition on shut-in potentials to improve the ultimate oil recovery. This paper proposes that imbibition is one of the strongly confounding variables that cause the mixed conclusions in the related literature. The investigation methodology involves probabilistic forecasting of three reservoir realization models validated based on the same field production data. Each of the models represents different primary recovery driving mechanism, such as imbibition-dominant and compaction-dominant recovery. A parametric study is conducted to explore and identify the specific reservoir conditions in which shut-in tends to improve the shale oil recovery. Ten reservoir parameters which affect the imbibition strength are studied under different shut-in durations. Comparison among the three models quantitatively demonstrates that shut-in tends to improve the ultimate oil recovery only if the shale reservoir demonstrates imbibition-dominant recovery. A first-pass economic analysis also suggests that when the shale oil reservoirs demonstrate such an imbibition-dominant recovery, shut-in tends to not only improve the ultimate oil recovery, but also the NPV. A correlation among ultimate oil recovery, flowback efficiency, and NPV also shows that there is no strong relationship between flowback efficiency and ultimate oil recovery. This study is one of the first to emphasize the importance of quantifying the imbibition strength and its contribution in helping recover the shale oil for optimum flowback framework and shale well shut-in design after hydraulic fracturing.
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Compaction delay"

1

Dowling, Adam. Adaptation Resources for Agriculture A Case Study: Organic Dairy in Wisconsin. USDA Midwest Climate Hub, November 2018. http://dx.doi.org/10.32747/2018.6893742.ch.

Full text
Abstract:
Like many producers in Wisconsin, R & G Miller & Sons is already seeing an increase in intense precipitation events particularly in spring. This delays planting and prevents early season grazing due to possible damage/compaction from cow traffic on saturated pastures. Extended wet periods (e.g. 5 in of rain or more/week) and big deluge rains also lead to ponding in some areas of fields, which in turn leads to reduced productivity of pastures.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography