To see the other types of publications on this topic, follow the link: Deep ultraviolet (DUV) lithography.

Journal articles on the topic 'Deep ultraviolet (DUV) lithography'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Deep ultraviolet (DUV) lithography.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Wen, Zaoxia, Xingyu Liu, Wenxiu Chen, et al. "Progress in Polyhedral Oligomeric Silsesquioxane (POSS) Photoresists: A Comprehensive Review across Lithographic Systems." Polymers 16, no. 6 (2024): 846. http://dx.doi.org/10.3390/polym16060846.

Full text
Abstract:
This paper offers a comprehensive overview of the polyhedral oligomeric silsesquioxane (POSS) and POSS-based composites within the realm of photoresist resin. The study involves a systematic exploration and discussion of the contributions made by POSS across various lithographic systems, with specific emphasis on critical parameters such as film formation, sensitivity, resolution, solubility, and edge roughness. These lithographic systems encompass X-ray lithography (XRL), deep ultraviolet nanoimprint lithography (DUV-NIL), extreme ultraviolet lithography (EUV), and guided self-assembled lithography (DSA). The principal objective of this paper is to furnish valuable insights into the development and utilization of POSS-based photoresist materials in diverse lithographic contexts.
APA, Harvard, Vancouver, ISO, and other styles
2

Güniat, Lucas, Lea Ghisalberti, Li Wang, et al. "GaAs nanowires on Si nanopillars: towards large scale, phase-engineered arrays." Nanoscale Horizons 7, no. 2 (2022): 211–19. http://dx.doi.org/10.1039/d1nh00553g.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Kim, Sang-Kon. "Understanding the Exposure Process in the Extreme Ultra Violet Lithography." Journal of Nanoscience and Nanotechnology 21, no. 8 (2021): 4466–69. http://dx.doi.org/10.1166/jnn.2021.19412.

Full text
Abstract:
Although being the optical lithography, the extreme ultraviolet (EUV) lithography with 13.5-nm wavelength is very different from the deep ultraviolet (DUV) lithography with 193-nm wavelength. Hence, the understanding of the complex detailed EUV mechanisms to cause a chemical reaction in chemically amplified resists (CARs) is required to develop EUV resists and exposure process. In this paper, for organic, metal-organic and metal-oxide resists, the electron-scattering model of exposure mechanisms needs to include the elastic and inelastic mean free paths. On top of that, Dill’s parameters of DUV and EUV resisters from the photo-generated reaction are discussed to indicate the physical and chemical characteristics. For CAR and EUV resists, Dill B parameter is large than Dill A and B parameters.
APA, Harvard, Vancouver, ISO, and other styles
4

Cheng, Xiuyan, Di Liang, Miao Jiang, et al. "Review of Directed Self-Assembly Material, Processing, and Application in Advanced Lithography and Patterning." Micromachines 16, no. 6 (2025): 667. https://doi.org/10.3390/mi16060667.

Full text
Abstract:
Directed self-assembly (DSA) lithography, a cutting-edge technology based on the self-assembly of block copolymers (BCPs), has received significant attention in recent years. Combining DSA with established lithography technologies, such as extreme ultraviolet (EUV), deep ultraviolet (DUV), electron beam lithography, and nanoimprint lithography, significantly enhances the resolution of target patterns and device density. Currently, there are two commonly used methods in DSA: graphoepitaxy, employing lithographically defined topographic templates to guide BCP assembly, and chemoepitaxy, utilizing chemically patterned surfaces with precisely controlled interfacial energies to direct nanoscale phase segregation. Through novel DSA lithography technology, nanoscale patterns with smaller feature sizes and higher densities can be obtained, realizing the miniaturization of hole and line patterns and pitch multiplication and improving the roughness and local critical dimension uniformity (LCDU). It is gradually becoming one of the most promising and advanced lithography techniques. DSA lithography technology has been applied in logic, memory, and optoelectronic device fabrications.
APA, Harvard, Vancouver, ISO, and other styles
5

Chen, Boyi. "Application of Photolithography in Integrated Circuits." Applied and Computational Engineering 126, no. 1 (2025): 33–38. https://doi.org/10.54254/2755-2721/2025.20005.

Full text
Abstract:
Integrated circuit (IC) manufacturing relies heavily on lithography, which drives device size reduction and performance improvement through precise pattern transfer. As the performance requirements of electronic devices continue to increase, lithography faces major challenges in terms of precision and efficiency. Currently, deep ultraviolet (DUV) and extreme ultraviolet (EUV) lithography technologies are mainstream, while technologies such as electron beam lithography (EBL) and directed self-assembly (DSA) are applied in specific high-precision fields. This paper reviews the current development status of lithography technology and analyzes its application in CMOS technology, 3D NAND flash memory, and high-performance computing components. The study also explores the main challenges facing photolithography, including technical bottlenecks, rising costs, and environmental impacts. In order to address these issues, the study emphasizes the importance of technological innovation and material improvement, especially in the development of new photoresists and mask materials and the promotion of environmentally friendly lithography technology. Therefore, it can be found that continued advances in lithography are essential to meet the changing needs of the semiconductor industry.
APA, Harvard, Vancouver, ISO, and other styles
6

Journal, of Global Research in Electronics and Communications. "Recent Developments in Semiconductor Wafer Fabrication: Materials, Processes, and Innovations." Journal of Global Research in Electronics and Communications 2, no. 2 (2025): 08–12. https://doi.org/10.5281/zenodo.14993769.

Full text
Abstract:
The semiconductor industry plays a critical role in modern electronics, with semiconductor wafer fabrication being a fundamental process in integrated circuit (IC) production. This review explores the key materials, fabrication processes, and recent advancements in semiconductor wafer manufacturing. The study highlights the importance of materials such as silicon, gallium arsenide, and silicon carbide, along with emerging alternatives that enhance device performance. Additionally, advanced lithography techniques, including extreme ultraviolet (EUV) and deep ultraviolet (DUV) lithography, are discussed for their impact on miniaturization and transistor density. The paper also examines challenges in wafer fabrication, such as defect detection, process optimization, and sustainability concerns. Future research directions emphasize AI-driven manufacturing, automation, and the development of eco-friendly processes to improve efficiency and reduce environmental impact. The study sheds light on the consequences of the changing semiconductor wafer production environment for the future of electronics manufacturing.
APA, Harvard, Vancouver, ISO, and other styles
7

Li, Fengting, Haojie Sun, Weijing Liu, Ruijin Hong, and Chunxian Tao. "Accurate Determination of the Low-Light-Level Absorption of DUV-Fused Silica at 193 nm with Laser Calorimetry." Photonics 11, no. 4 (2024): 305. http://dx.doi.org/10.3390/photonics11040305.

Full text
Abstract:
The low-light-level absorption coefficient of OH-contained and H2-impregnated synthetic fused silica material in 193 nm optical lithography application is determined via a laser calorimetry measurement. The fluence and repetition rate dependences of the absorptances of the deep ultraviolet (DUV)-fused silica samples with different thickness are measured. The measured dependences are fitted to a theoretical model, taking into consideration the generation and annealing of laser irradiation induced defects. The surface absorption, the low-light-level linear absorption coefficient, as well as the nonlinear absorption coefficient of the fused silica material are accurately determined via the fitting. The low-light-level linear absorption coefficients determined via the fluence dependence and the repetition rate dependence are in good agreement, demonstrating the reliability of the measured low-light-level absorption coefficient, which is the key parameter to the determination of the internal transmission of the DUV-fused silica material used in the 193 nm optical lithography.
APA, Harvard, Vancouver, ISO, and other styles
8

Lin, Chung-Chih, Audrey Na, Yi-Kuei Wu, Likarn Wang, and Neil Na. "Optimization of Grating Coupler over Single-Mode Silicon-On-Insulator Waveguide to Reach < 1 dB Loss through Deep-Learning-Based Inverse Design." Photonics 11, no. 3 (2024): 267. http://dx.doi.org/10.3390/photonics11030267.

Full text
Abstract:
Grating couplers are essential components in silicon photonics that facilitate the coupling of light between waveguides and fibers. Optimization of the grating couplers to reach &lt;1 dB loss when coupling to single-mode fibers (SMFs) has been reported in the literature, but this was based on silicon-on-insulator (SOI) waveguides supporting multi-modes. In this paper, using a deep-learning model combined with an inverse-design process, we achieve &lt;1 dB losses for grating couplers implemented over single-mode SOI waveguides, i.e., a maximum efficiency of 80.5% (−0.94 dB) for gratings constrained with e-beam (EB) lithography critical dimension (CD), and a maximum efficiency of 77.9% (−1.09 dB) for gratings constrained with deep ultraviolet (DUV) lithography CD. To verify these results, we apply covariance matrix adaptation evolution strategy (CMA-ES) and find that while CMA-ES yields slightly better results, i.e., 82.7% (−0.83 dB) and 78.9% (−1.03 dB) considering e-beam and DUV, respectively, the spatial structures generated by CMA-ES are nearly identical to the spatial structures generated by the deep-learning model combined with the inverse-design process. This suggests that our approach can achieve a representative low-loss structure, and may be used to improve the performance of other types of nanophotonic devices in the future.
APA, Harvard, Vancouver, ISO, and other styles
9

Li, Wei, Qiang Xin, Bin Fan, Qiang Chen, and Yonghong Deng. "A Review of Emerging Technologies in Ultra-Smooth Surface Processing for Optical Components." Micromachines 15, no. 2 (2024): 178. http://dx.doi.org/10.3390/mi15020178.

Full text
Abstract:
Advancements in astronomical telescopes and cutting-edge technologies, including deep ultraviolet (DUV) and extreme ultraviolet (EUV) lithography, have escalated demands and imposed stringent surface quality requirements on optical system components. Achieving near-ideal optical components requires ultra-smooth surfaces with sub-nanometer roughness, no sub-surface damage, minimal surface defects, low residual stresses, and intact lattice integrity. This necessity has driven the rapid development and diversification of ultra-smooth surface fabrication technologies. This paper summarizes recent advances in ultra-smooth surface processing technologies, categorized by their material removal mechanisms. A subsequent comparative analysis evaluates the roughness and polishing characteristics of ultra-smooth surfaces processed on various materials, including fused silica, monocrystalline silicon, silicon carbide, and sapphire. To maximize each process’s advantages and achieve higher-quality surfaces, the paper discusses tailored processing methods and iterations for different materials. Finally, the paper anticipates future development trends in response to current challenges in ultra-smooth surface processing technology, providing a systematic reference for the study of the production of large-sized freeform surfaces.
APA, Harvard, Vancouver, ISO, and other styles
10

Chen, Sicong, Christopher Lim, and Vincent Chai. "HF Compatibility Study on KrF and I-Line System Resist." Key Engineering Materials 965 (November 28, 2023): 99–104. http://dx.doi.org/10.4028/p-ph0pys.

Full text
Abstract:
Advance nanoscale patterning technology requires high resolution lithography, from ultraviolet (UV, i-line system) to deep ultraviolet (DUV, KrF system) until extreme ultraviolet (EUV), but the compatibility study of new resist types and wet etchant is lacking. The compatibility is defined as the duration of a photoresist being able to withstand in wet oxide etchant. Poor compatibility has potential resist lifting and/or penetration during wet etch process, which causes electronic device performance drifting. Currently, wet oxide etching is widely used in the gate oxide wet etch using patterned resist, as well as in the backside oxide removal with blanket resist front-side coverage. In this paper, we explore the compatibility and understand the impact factors, based on commonly used resist (i.e., KrF and i-line system resist) and wet etch chemicals (i.e. HF based etchant) in industry. It is important to do a quick and straightforward compatibility check before we implement new resists on actual product wafers, to prevent poor compatibility caused resist lifting and/or penetration during wet etch process. Based on oxide thickness check and resist lifting phenomena, it is found that resist baking condition, resist polymer type, resist composition, and lag time from resist coating to wet oxide etching all will affect the compatibility between HF based etchant and resist.
APA, Harvard, Vancouver, ISO, and other styles
11

Han, Ping, Dapeng Jiang, Huamin Kou, et al. "Mechanism of Impurity Content in Degradation and Damage Characteristics of Calcium Fluoride Crystals by X-Ray and Deep-Ultraviolet Laser Irradiation." Photonics 12, no. 6 (2025): 579. https://doi.org/10.3390/photonics12060579.

Full text
Abstract:
Calcium fluoride (CaF2) crystals are widely utilized in deep-ultraviolet (DUV) lithography due to their excellent optical properties. The laser-induced degradation and damage of CaF2 crystals is a critical concern that restricts its extended application. Impurities of CaF2 crystal are considered a key factor affecting its laser resistance. Establishing the quantitative relationship and mechanism of impurity content impacting the degradation and damage characteristics of CaF2 crystal is essential. This study investigated the characteristics of different impurity contents affecting the degradation and laser-induced damage thresholds (LIDTs) of CaF2 crystals under X-ray and 193 nm pulsed laser irradiations, and quantitatively analyzed the degradation process and mechanism. Our findings demonstrate that impurities at ppm levels significantly diminish the transmittance of CaF2 crystals across various wavelengths following X-ray irradiation. In contrast, these impurities have a negligible effect on the LIDT test results, suggesting distinct damage mechanisms between X-ray and laser irradiation. This study provides valuable insights for optimizing the CaF2 crystal fabrication process and enhancing irradiation resistance.
APA, Harvard, Vancouver, ISO, and other styles
12

Vlnieska, Vitor, Margarita Zakharova, Andrey Mikhaylov, and Danays Kunka. "Lithographic Performance of Aryl Epoxy Thermoset Resins as Negative Tone Photoresist for Microlithography." Polymers 12, no. 10 (2020): 2359. http://dx.doi.org/10.3390/polym12102359.

Full text
Abstract:
Photoresists (or photo-resins) are the main and most important raw material used for lithography techniques such as deep X-ray (DXRL), ultraviolet (UVL), deep-UV (DUVL), and extreme UV (EUVL). In previous work, we showed how complicated could be the synthesis of the resins used to produce photoresist. In this study, we follow up on the strategy of tuning deep and macro levels of properties to formulate photo-resins. They were developed from a primary basis, using epoxy resins, a solvent, and a photoinitiator in several concentrations. The formulations were evaluated initially by the UVL technique, using a squared pattern of 2.3 mm2. The most suitable compositions were then studied in a pattern structure varying from 50 down to 1 µm width, applying UVL and DUVL. The patterned structures were compared with the chemical composition of the photo-resins. Considering the deep level of properties, polydispersion, and epoxidation degree were evaluated. Regarding the macro level of properties, the concentration of photoinitiator was studied. Promising results have been achieved with the control of the deep and macro levels methodology. By means of UV lithography, it was possible to note, for a large feature size above 2.0 mm2, the formulations presented good quality structures with a broad range of epoxidation degrees and photoinitiator concentrations, respectively from 3 to 100% (mol·molpolymer−1) and from 10 to 40% (mol·molpolymer−1). For structures smaller than 50 µm width, the composition of the photo-resins may be restricted to a narrow range of values regarding the formulation. The results indicate that the polydispersion of the oligomers might be a significant property to control. There is a tendency to better outcome with a low polydispersity (resins P1 and P2). Regarding UV and deep-UV irradiation, the best results were achieved with UV. Nevertheless, for DUV, the sensitivity seems to be more intense, leading to well-defined structures with over-exposure effects.
APA, Harvard, Vancouver, ISO, and other styles
13

Ravich, Gil. "Deep Ultraviolet Microscopy." EDFA Technical Articles 3, no. 3 (2001): 1–23. http://dx.doi.org/10.31399/asm.edfa.2001-3.p001.

Full text
Abstract:
Abstract This is the second article in a two-part series on deep ultraviolet (DUV) microscopy. The first part, published in the February 2000 issue of EDFA, discusses the working principles and capabilities of the method and the types of applications for which it is suited. In this issue, the author describes the basic design of DUV microscopes, the role of major components, and their effect on imaging quality.
APA, Harvard, Vancouver, ISO, and other styles
14

Fang, Wannian, Qiang Li, Jiaxing Li, et al. "Deep Ultraviolet Photodetector: Materials and Devices." Crystals 13, no. 6 (2023): 915. http://dx.doi.org/10.3390/cryst13060915.

Full text
Abstract:
The application of deep ultraviolet detection (DUV) in military and civil fields has increasingly attracted the attention of researchers’ attention. Compared with the disadvantages of organic materials, such as complex molecular structure and poor stability, inorganic materials are widely used in the field of DUV detection because of their good stability, controllable growth, and other characteristics. Rapid advances in preparing high-quality ultrawide-bandgap (UWBG) semiconductors have enabled the realization of various high-performance DUV photodetectors with different geometries, which provide an avenue for circumventing numerous disadvantages in traditional detectors. Herein, the development history and types of DUV detectors are briefly introduced. Typical UWBG detection materials and their preparation methods, as well as their research and application status in the field of DUV detection, are emphatically summarized and reviewed, including III-nitride semiconductors, gallium oxide, diamond, etc. Finally, problems pertaining to DUV detection materials, such as the growth of materials, the performance of devices, and their future development, are also discussed.
APA, Harvard, Vancouver, ISO, and other styles
15

Liu, Wenyi. "Analysis Of Degradation Effect on Deep-Ultraviolet Leds." Highlights in Science, Engineering and Technology 121 (December 24, 2024): 7–15. https://doi.org/10.54097/rf3r7p67.

Full text
Abstract:
Deep-ultraviolet light has a wide application range in daily life, such as the disinfection of water and surfaces of objects without any pollution. At the meanwhile, Light Emitting Diodes (LEDs) are fantastic light emitting devices with multiple advantages, such as high efficiency and relatively longer lifespan. Therefore, the invention of Deep-Ultraviolet LEDs (DUV-LEDs) is reasonable since it can make use of the advantages of LEDs for a lower cost in applications. However, not everything goes well with DUV-LEDs. Degradation greatly affects the performance and lifespan of DUV-LEDs. The efficiency and output power of DUV-LEDs would decrease dramatically after aging. In this passage, current, heat and defects are three main reasons of degradation and each of them are discussed in detail. The effect of degradation on I-V characteristics is included to present more detailed information. A newly developed manufactural method with graphene is mentioned, and suggestions and further research directions are provided to improve the lifespan and reliability of DUV-LEDs.
APA, Harvard, Vancouver, ISO, and other styles
16

Fukuda, Soichiro, Shunsuke Ito, Jun Nishikawa, et al. "Deep Ultraviolet Light-Emitting Diode Light Therapy for Fusobacterium nucleatum." Microorganisms 9, no. 2 (2021): 430. http://dx.doi.org/10.3390/microorganisms9020430.

Full text
Abstract:
Background: Fusobacterium nucleatum, which is associated with periodontitis and gingivitis, has been detected in colorectal cancer (CRC). Methods: We evaluated the bactericidal effect of deep ultraviolet (DUV) light-emitting diode (LED) light therapy on F. nucleatum both qualitatively and quantitatively. Two DUV-LEDs with peak wavelengths of 265 and 280-nm were used. DNA damage to F. nucleatum was evaluated by the production of cyclobutane pyrimidine dimers (CPD) and pyrimidine (6–4) pyrimidone photoproducts (6–4PP). Results: DUV-LEDs showed a bactericidal effect on F. nucleatum. No colony growth was observed after 3 min of either 265 nm or 280 nm DUV-LED irradiation. The survival rates of F. nucleatum under 265 nm DUV-LED light irradiation dropped to 0.0014% for 10 s and to 0% for 20 s irradiation. Similarly, the survival rate of F. nucleatum under 280 nm DUV-LED light irradiation dropped to 0.00044% for 10 s and 0% for 20 s irradiation. The irradiance at the distance of 35 mm from the DUV-LED was 0.265 mW/cm2 for the 265 nm LED and 0.415 mW/cm2 for the 280 nm LED. Thus, the radiant energy for lethality was 5.3 mJ/cm2 for the 265 nm LED and 8.3 mJ/cm2 for the 280 nm LED. Amounts of CPD and 6–4PP in F. nucleatum irradiated with 265 nm DUV-LED light were 6.548 ng/µg and 1.333 ng/µg, respectively. Conclusions: DUV-LED light exerted a bactericidal effect on F. nucleatum by causing the formation of pyrimidine dimers indicative of DNA damage. Thus, DUV-LED light therapy may have the potential to prevent CRC.
APA, Harvard, Vancouver, ISO, and other styles
17

Xu, Ruiqiang, Qiushi Kang, Youwei Zhang, Xiaoli Zhang, and Zihui Zhang. "Research Progress of AlGaN-Based Deep Ultraviolet Light-Emitting Diodes." Micromachines 14, no. 4 (2023): 844. http://dx.doi.org/10.3390/mi14040844.

Full text
Abstract:
AlGaN-based deep ultraviolet light-emitting diodes (DUV LEDs) have great application prospects in sterilization, UV phototherapy, biological monitoring and other aspects. Due to their advantages of energy conservation, environmental protection and easy miniaturization realization, they have garnered much interest and been widely researched. However, compared with InGaN-based blue LEDs, the efficiency of AlGaN-based DUV LEDs is still very low. This paper first introduces the research background of DUV LEDs. Then, various methods to improve the efficiency of DUV LED devices are summarized from three aspects: internal quantum efficiency (IQE), light extraction efficiency (LEE) and wall-plug efficiency (WPE). Finally, the future development of efficient AlGaN-based DUV LEDs is proposed.
APA, Harvard, Vancouver, ISO, and other styles
18

Ali, Asif, So-Young Kim, Muhammad Hussain, et al. "Deep-Ultraviolet (DUV)-Induced Doping in Single Channel Graphene for Pn-Junction." Nanomaterials 11, no. 11 (2021): 3003. http://dx.doi.org/10.3390/nano11113003.

Full text
Abstract:
The electronic properties of single-layer, CVD-grown graphene were modulated by deep ultraviolet (DUV) light irradiation in different radiation environments. The graphene field-effect transistors (GFETs), exposed to DUV in air and pure O2, exhibited p-type doping behavior, whereas those exposed in vacuum and pure N2 gas showed n-type doping. The degree of doping increased with DUV exposure time. However, n-type doping by DUV in vacuum reached saturation after 60 min of DUV irradiation. The p-type doping by DUV in air was observed to be quite stable over a long period in a laboratory environment and at higher temperatures, with little change in charge carrier mobility. The p-doping in pure O2 showed ~15% de-doping over 4 months. The n-type doping in pure N2 exhibited a high doping effect but was highly unstable over time in a laboratory environment, with very marked de-doping towards a pristine condition. A lateral pn-junction of graphene was successfully implemented by controlling the radiation environment of the DUV. First, graphene was doped to n-type by DUV in vacuum. Then the n-type graphene was converted to p-type by exposure again to DUV in air. The n-type region of the pn-junction was protected from DUV by a thick double-coated PMMA layer. The photocurrent response as a function of Vg was investigated to study possible applications in optoelectronics.
APA, Harvard, Vancouver, ISO, and other styles
19

Hao, Jiandong, Ling Li, Ningqiang Shi, and Zhenxing Liu. "Deep ultraviolet applications of mono-bilayer boron nitride nanoribbons: a first-principles study." Physica Scripta 99, no. 3 (2024): 035958. http://dx.doi.org/10.1088/1402-4896/ad2825.

Full text
Abstract:
Abstract The optical properties of mono-bilayer boron nitride nanoribbons (BNNRs) on deep ultraviolet (DUV) region were calculated by adjusting the width, interlayer spacing and layer stacking. The band structure of monolayer BNNRs is sensitive to the width. DUV response also changes with the width, interlayer spacing and layer stacking in the mono-bilayer BNNRs. The N-N layer stacking can improve the reflectivity and absorption coefficient of DUV response. Therefore, the electronic properties and DUV response of mono-bilayer BNNRs can be tuned through changing the width, interlayer spacing and layer stacking. Our results show that BNNRs can be applied for DUV communication and DUV detectors, etc.
APA, Harvard, Vancouver, ISO, and other styles
20

SHATALOV, M., A. LUNEV, X. HU, et al. "PERFORMANCE AND APPLICATIONS OF DEEP UV LED." International Journal of High Speed Electronics and Systems 21, no. 01 (2012): 1250011. http://dx.doi.org/10.1142/s0129156412500115.

Full text
Abstract:
We discuss physics, design, fabrication, performance, and selected applications of Deep Ultraviolet Light Emitting Diodes (DUV LEDs). Our analysis reveals the relative contributions of electrical injection, internal quantum efficiency, and light extraction efficiency to the overall DUV LED performance. Our calculations show that the reduction of the dislocation density at least below value of 2×108 1/cm3 is necessary for reaching high DUV LED efficiency. Better light extraction has been achieved using an innovative p-type transparent sub-contact layer and reflecting ohmic p-type contact resulting in nearly tripling DUV LED power. At high power dissipation, temperature rise might be significant, and we present data showing the power degradation with temperature increase and the results of the detailed 1D and 3D analysis of thermal impedance of DUV LEDs. As an example of DUV LED application, we report on microbial disinfection using 19 watt 275 nanometer DUV LED.
APA, Harvard, Vancouver, ISO, and other styles
21

IMAI, Motoyuki, and Eiichi NISHIKAWA. "Comparison of Etching Effects Using Deep Ultraviolet (DUV) and Vacuum Ultraviolet/Deep Ultraviolet (VUV/DUV) Irradiation on Multiwalled Carbon Nanotubes." Journal of the Surface Finishing Society of Japan 66, no. 10 (2015): 467–71. http://dx.doi.org/10.4139/sfj.66.467.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Kozu, Tomomi, Makoto Yamaguchi, Masayuki Fujitsuka, Olga Milikofu, and Ken Nishida. "Residual Stress Analysis of Indentation on 4H-SiC by Deep-Ultraviolet Excited Raman Spectroscopy." Materials Science Forum 821-823 (June 2015): 233–36. http://dx.doi.org/10.4028/www.scientific.net/msf.821-823.233.

Full text
Abstract:
In this work, we analyze residual stress on 4H-SiC with Raman spectroscopy that excitation wavelength is deep ultraviolet (DUV) laser 266nm. The residual stress area is created by Vickers Hardness test technique and the area is measured by 2D DUV Raman map. The result is different from visible light excited Raman, because DUV light penetration is shallower than visible light. DUV Raman signal has exactly brings only the sample surface information. We present the advantage of DUV excited Raman to analyze sample surface.
APA, Harvard, Vancouver, ISO, and other styles
23

Michel, Anna P. M., and Jason Kapit. "Deep Ultraviolet Light Emitting Diode (LED)-Based Sensing of Sulfur Dioxide." Applied Spectroscopy 71, no. 5 (2016): 996–1003. http://dx.doi.org/10.1177/0003702816665126.

Full text
Abstract:
With the recent development of deep ultraviolet (DUV) light emitting diodes (LEDs) comes the possibility of targeting absorption bands of several gases, including sulfur dioxide (SO2). SO2 has strong absorption bands in the 300 nm spectral region. The low cost and small size of DUV LEDs, coupled with their spectral coverage, makes them viable sources for new gas sensors. Here, we demonstrate the capability to use absorption spectroscopy with a balanced detection scheme using a 300 nm DUV LED source for SO2 detection at concentrations ranging from less than 1 ppm to 50 ppm.
APA, Harvard, Vancouver, ISO, and other styles
24

Băjenescu, Titu-Marius I. "DEEP ULTRAVIOLET LIGHT EMITTING DIODES (DUV LEDS)." Journal of Engineering Science XXV (2) (June 15, 2018): 6–19. https://doi.org/10.5281/zenodo.2559253.

Full text
Abstract:
There are a variety of applications for devices that extend into the deep-UV, including biological agent detection and optical storage. The nitride material system is a set of semiconducting compounds that have wavelengths that span a broad range, from yellow to deep-UV. AlGaN has a direct bandgap that extends into the deep-UV range; the device-quality material, is deposited epitaxially using metalorganic chemical vapor deposition on sapphire substrates.&nbsp;
APA, Harvard, Vancouver, ISO, and other styles
25

OU Zuoyuan, XU Sizhi, LIU Xing, et al. "All-solid-state high-power deep ultraviolet picosecond laser." Acta Physica Sinica 74, no. 14 (2025): 0. https://doi.org/10.7498/aps.74.20250247.

Full text
Abstract:
Deep ultraviolet (DUV) picosecond lasers, operating in the 200–280 nm wavelength range, offer significant advantages, such as high photon energy and high resolution. These attributes make them highly promising for applications like semiconductor detection, ensuring the production of high-quality, defect-free semiconductor devices, as well as for advanced scientific research and industrial processing. High-power DUV picosecond lasers are typically generated via nonlinear frequency conversion of infrared lasers based on master oscillator power amplifier (MOPA) configurations. Among the various DUV laser technologies, systems based on β-BBO crystals are particularly valued for their simple design and cost efficiency. However, linear and two-photon absorption, as well as dynamic color center formation in BBO, are significant limitations for high-power, high-repetition-rate UV generation, leading to thermal effects. Hence, it is important to carefully study the performance characteristics of BBO for high-power, high-repetition-rate pulse generation in the UV at 266 nm.&lt;br&gt;This study presents a high-power, all-solid-state DUV picosecond laser developed using a 1064 nm Nd:YVO&lt;sub&gt;4&lt;/sub&gt; MOPA amplification architecture. The experimental setup employed a 50 mW, 7.8 ps, 20 MHz all-fiber SESAM mode-locked laser as the seed source, achieving an amplified output power of 140 W with a pulse duration of 8.33 ps at 1064 nm via MOPA. In the nonlinear frequency conversion process, the amplified laser pulses were initially focused onto an LBO crystal for second harmonic generation (SHG). Precise temperature control of the LBO crystal enabled the generation of a 532 nm output with 73 W of power and a pulse duration of 6.93 ps, while achieving a conversion efficiency of 52.64%. Two-photon absorption is a key factor limiting the further enhancement of deep ultraviolet (DUV) laser power. By investigating the transmittance and temperature rise of a high-power dual-wavelength laser in a β-BBO crystal, the results indicate that strong two-photon absorption occurs under high-power DUV irradiation. This absorption induces significant thermal effects, resulting in temperature gradients within the crystal and leading to phase mismatch, which severely impacts frequency conversion efficiency and output stability.&lt;br&gt;To address this issue and further increase the DUV output power, a large-spot pumping scheme (spot size: 1.5 mm × 1 mm) is adopted in this work. Under a pump peak power density of less than 1.11 GW/cm&lt;br&gt;, the thermal gradient caused by two-photon absorption is effectively suppressed, enabling a maximum fourth-harmonic output power of 11 W. The corresponding single-pulse energy reaches 13.75 μJ. The root mean square (RMS) jitter, measured over an 8-hour period, was &lt; 0.96%.&lt;br&gt;This all-solid-state DUV laser demonstrates excellent performance characteristics, including high average power, stability, resolution, and peak power, making it a strong candidate for applications requiring efficient and high-precision processing or detection. By further increasing the pump power and optimizing the temperature control system, the output power of the laser can be significantly enhanced, broadening its applicability and competitiveness in high-end fields such as semiconductor manufacturing, advanced research, and industrial processing.
APA, Harvard, Vancouver, ISO, and other styles
26

Tipton, Mike. "Applying deep ultraviolet lithography." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 8, no. 6 (1990): 1740. http://dx.doi.org/10.1116/1.585150.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Chang, Jih-Yuan, Man-Fang Huang, Chih-Yung Huang, Shih-Chin Lin, Ching-Chiun Wang, and Yen-Kuang Kuo. "Band-Engineered Structural Design of High-Performance Deep-Ultraviolet Light-Emitting Diodes." Crystals 11, no. 3 (2021): 271. http://dx.doi.org/10.3390/cryst11030271.

Full text
Abstract:
In this study, systematic structural design was investigated numerically to probe into the cross-relating influences of n-AlGaN layer, quantum barrier (QB), and electron-blocking layer (EBL) on the output performance of AlGaN deep-ultraviolet (DUV) light-emitting diodes (LEDs) with various Al compositions in quantum wells. Simulation results show that high-Al-composition QB and high-Al-composition EBL utilized separately are beneficial for the enhancement of carrier confinement, while the wall-plug efficiency (WPE) degrades dramatically if both high-Al-composition QB and EBL are existing in a DUV LED structure simultaneously. DUV LEDs may be of great optical performance with appropriate structural design by fine-tuning the material parameters in n-AlGaN layer, QB, and EBL. The design curves provided in this paper can be very useful for the researchers in developing the DUV LEDs with a peak emission wavelength ranging from 255 nm to 285 nm.
APA, Harvard, Vancouver, ISO, and other styles
28

Huang, Jiaxin, Qingna Wang, Xiaofang Ye, et al. "Light-Field Optimization of Deep-Ultraviolet LED Modules for Efficient Microbial Inactivation." Coatings 14, no. 5 (2024): 568. http://dx.doi.org/10.3390/coatings14050568.

Full text
Abstract:
Public awareness of preventing pathogenic microorganisms has significantly increased. Among numerous microbial prevention methods, the deep-ultraviolet (DUV) disinfection technology has received wide attention by using the nitride-based light-emitting diode (LED). However, the light extraction efficiency of DUV LEDs and the utilization rate of emitted DUV light are relatively low at the current stage. In this study, a light distribution design (referred to as the reflective system) was explored to enhance the utilization of emitted DUV from LEDs, leading to successful and efficient surface and air disinfection. Optical power measurements and microbial inactivation tests demonstrated an approximately 79% improvement in average radiation power density achieved by the reflective system when measured at a 5 cm distance from the irradiation surface. Moreover, a statistically significant enhancement in local surface disinfection was observed with low electric power consumption. The reflective system was integrated into an air purifier and underwent air disinfection testing, effectively disinfecting a 3 m3 space within ten minutes. Additionally, a fluorine resin film at the nanolevel was developed to protect the light module from oxidation, validated through a 1200 h accelerated aging test under humid conditions. This research offers valuable guidance for efficient and energy-saving DUV disinfection applications.
APA, Harvard, Vancouver, ISO, and other styles
29

Wu, Zhenping, Lei Jiao, Xiaolong Wang, et al. "A self-powered deep-ultraviolet photodetector based on an epitaxial Ga2O3/Ga:ZnO heterojunction." Journal of Materials Chemistry C 5, no. 34 (2017): 8688–93. http://dx.doi.org/10.1039/c7tc01741c.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Wang, Tien-Yu, Wei-Chih Lai, Syuan-Yu Sie, Sheng-Po Chang, Cheng-Huang Kuo, and Jinn-Kong Sheu. "Deep Ultraviolet AlGaN-Based Light-Emitting Diodes with p-AlGaN/AlGaN Superlattice Hole Injection Structures." Processes 9, no. 10 (2021): 1727. http://dx.doi.org/10.3390/pr9101727.

Full text
Abstract:
The p-AlGaN/AlGaN superlattice (SL) hole injection structure was introduced into deep ultraviolet (DUV) light-emitting diodes (LEDs) to enhance their performances. The period thicknesses of the p-Al0.8Ga0.2N/Al0.48Ga0.52N SLs affected the performances of the DUV LEDs. The appropriate period thickness of the p-Al0.8Ga0.2N/Al0.48Ga0.52N SL may enhance the hole injection of DUV LEDs. Therefore, compared with the reference LEDs, the DUV LEDs with the 10-pair Al0.8Ga0.2N (1 nm)/Al0.48Ga0.52N (1 nm) SL presented forward voltage reduction of 0.23 V and light output power improvement of 15% at a current of 350 mA. Furthermore, the 10-pair Al0.8Ga0.2N (1 nm)/Al0.48Ga0.52N (1 nm) SL could slightly suppress the Auger recombination and current overflow of the DUV LEDs in a high-current operation region. In addition to improved carrier injection, the DUV LEDs with the p-Al0.8Ga0.2N/Al0.48Ga0.52N SL hole injection structure showed reduced light absorption at their emission wavelength compared with the reference LEDs. Therefore, the DUV LEDs with p-Al0.8Ga0.2N/Al0.48Ga0.52N SL may exhibit better light extraction efficiency than the reference LEDs. The enhancement of p-Al0.8Ga0.2N (1 nm)/Al0.48Ga0.52N (1 nm) SL may contribute to improvements in light extraction and hole injection.
APA, Harvard, Vancouver, ISO, and other styles
31

Cao, Rui, Ye Zhang, Huide Wang, et al. "Solar-blind deep-ultraviolet photodetectors based on solution-synthesized quasi-2D Te nanosheets." Nanophotonics 9, no. 8 (2020): 2459–66. http://dx.doi.org/10.1515/nanoph-2019-0539.

Full text
Abstract:
AbstractSolar-blind deep ultraviolet (DUV) photodetectors with high responsivity (R) and fast response speed are crucial for practical applications in astrophysical analysis, environmental pollution monitoring, and communication. Recently, 2D tellurium has emerged as a potential optoelectronic material because of its excellent photoelectric properties. In this study, solar-blind DUV photodetectors are demonstrated based on solution-synthesized and air-stable quasi-2D Te nanosheets (Te NSs). An R of 6.5 × 104 A/W at 261 nm and an external quantum efficiency (EQE) of higher than 2.26 × 106% were obtained, which are highest among most other 2D material-based solar-blind DUV photodetectors. Moreover, the photoelectric performance of the quasi-2D Te-based photodetector exhibited good stability even after ambient exposure for 90 days without any encapsulation. These results indicate that quasi-2D Te NSs provide a viable approach for developing solar-blind DUV photodetectors with ultrahigh R and EQE.
APA, Harvard, Vancouver, ISO, and other styles
32

Kuo, Shiou-Yi, Chia-Jui Chang, Zhen-Ting Huang, and Tien-Chang Lu. "Improvement of Light Extraction in Deep Ultraviolet GaN Light Emitting Diodes with Mesh P-Contacts." Applied Sciences 10, no. 17 (2020): 5783. http://dx.doi.org/10.3390/app10175783.

Full text
Abstract:
One of the main reasons that the emission efficiency of GaN-based light-emitting diodes (LEDs) decreases significantly as the emission wavelength shorter than 300 nm is the low light extraction efficiency (LEE). Especially in deep ultra-violet (DUV) LEDs, light propagating outside the escape cone and being reflected back to the semiconductor or substrate layer is absorbed not only by active layers but also by p-type layers with narrower bandgaps and electrodes that are neither transparent nor reflective of the DUV wavelength. In this report, we propose a DUV LED structure with mesh p-GaN/indium-tin-oxide (ITO) contacts and a Ti/Al/Ni/Au layer as a reflective layer to improve LEE. The mesh p-GaN/ITO DUV LED showed an output power of 12% higher than that from the conventional DUV LED due to the lower light absorption at 280 nm.
APA, Harvard, Vancouver, ISO, and other styles
33

Hopkins, Adam J., Justin L. Cooper, Luisa T. M. Profeta, and Alan R. Ford. "Portable Deep-Ultraviolet (DUV) Raman for Standoff Detection." Applied Spectroscopy 70, no. 5 (2016): 861–73. http://dx.doi.org/10.1177/0003702816638285.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Chen, Quan, Yonghui Zhang, Tao Zheng, et al. "Polarization detection in deep-ultraviolet light with monoclinic gallium oxide nanobelts." Nanoscale Advances 2, no. 7 (2020): 2705–12. http://dx.doi.org/10.1039/d0na00364f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Matsumoto, Takahiro, Ichiro Tatsuno, and Tadao Hasegawa. "Instantaneous Water Purification by Deep Ultraviolet Light in Water Waveguide: Escherichia Coli Bacteria Disinfection." Water 11, no. 5 (2019): 968. http://dx.doi.org/10.3390/w11050968.

Full text
Abstract:
The necessity of small water purification equipment has been increasing in recent years as a result of frequent natural disasters. Ultraviolet (UV) radiation treatment is an effective method for the disinfection of bacterial contaminants in water. As an emerging technology, disinfection by deep-ultraviolet light-emitting diodes (DUV-LEDs) is promising. Few studies have used the point-source characteristics of LEDs and have instead replaced mercury vapor lamps with LEDs. Here, we demonstrate the instantaneous purification of contaminated water by combining the point source characteristics of DUV-LEDs with a water waveguide (WW). The principle is based on the WW region acting as an effective DUV disinfector, whereby a high UV dose in a confined WW region can be applied to bacterial contaminants in a short period of time (around one second). We demonstrate the effect of this DUV-LED WW disinfection technique by showing the results of 3-log disinfection levels of water contaminated with Escherichia coli bacteria after a short treatment time. We believe that the combination of the point-source nature of DUV-LED emission, the water-waveguide effect, and a small photovoltaic cell paves the way toward environmentally friendly and emergency preparedness portable water purification equipment that instantaneously supplies clean water just before drinking.
APA, Harvard, Vancouver, ISO, and other styles
36

Sampath, Anand V., Yoajia Chen, Q. Zhou, et al. "AlGaN/SiC Heterojunction Ultraviolet Photodiodes." Materials Science Forum 858 (May 2016): 1206–9. http://dx.doi.org/10.4028/www.scientific.net/msf.858.1206.

Full text
Abstract:
We report on improvement in the deep ultraviolet (DUV) photoresponse of SiC based detectors through the development of n- AlxGa1-xN / i-p SiC heterojunction photodiodes. Fabricated photodiodes have high external quantum efficiency (EQE), greater than 60%, over a wide spectral range from 215-255 nm that is ~10x enhancement in performance over comparable homogenous SiC photodiodes at the shortest wavelength. This is attributed to photogeneration of carriers within the SiC depletion region by DUV illumination of the diode through the n- AlxGa1-xN “window”, as compared to a typical homogenous SiC n-i-p structure where the carriers are photogenerated in the n-type neutral region, resulting in more efficient collection of holes through drift
APA, Harvard, Vancouver, ISO, and other styles
37

Hwang, Chahwan, Jae Sang Heo, Kyung-Tae Kim, et al. "Facile organic surfactant removal of various dimensionality nanomaterials using low-temperature photochemical treatment." RSC Advances 9, no. 2 (2019): 730–37. http://dx.doi.org/10.1039/c8ra08173e.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Nagasawa, Yosuke, and Akira Hirano. "A Review of AlGaN-Based Deep-Ultraviolet Light-Emitting Diodes on Sapphire." Applied Sciences 8, no. 8 (2018): 1264. http://dx.doi.org/10.3390/app8081264.

Full text
Abstract:
This paper reviews the progress of AlGaN-based deep-ultraviolet (DUV) light emitting diodes (LEDs), mainly focusing in the work of the authors’ group. The background to the development of the current device structure on sapphire is described and the reason for using a (0001) sapphire with a miscut angle of 1.0° relative to the m-axis is clarified. Our LEDs incorporate uneven quantum wells (QWs) grown on an AlN template with dense macrosteps. Due to the low threading dislocation density of AlGaN and AlN templates of about 5 × 108/cm2, the number of nonradiative recombination centers is decreased. In addition, the uneven QW show high external quantum efficiency (EQE) and wall-plug efficiency, which are considered to be boosted by the increased internal quantum efficiency (IQE) by enhancing carrier localization adjacent to macrosteps. The achieved LED performance is considered to be sufficient for practical applications. The advantage of the uneven QW is discussed in terms of the EQE and IQE. A DUV-LED die with an output of over 100 mW at 280–300 nm is considered feasible by applying techniques including the encapsulation. In addition, the fundamental achievements of various groups are reviewed for the future improvements of AlGaN-based DUV-LEDs. Finally, the applications of DUV-LEDs are described from an industrial viewpoint. The demonstrations of W/cm2-class irradiation modules are shown for UV curing.
APA, Harvard, Vancouver, ISO, and other styles
39

Kang, Chieh-Yu, Chih-Hao Lin, Tingzhu Wu, Po-Tsung Lee, Zhong Chen, and Hao-Chung Kuo. "A Novel Liquid Packaging Structure of Deep-Ultraviolet Light-Emitting Diodes to Enhance the Light-Extraction Efficiency." Crystals 9, no. 4 (2019): 203. http://dx.doi.org/10.3390/cryst9040203.

Full text
Abstract:
To realize high-efficiency, AlGaN-based, deep-ultraviolet light-emitting diodes (DUV-LEDs), enhancing their light-extraction efficiency and reducing thermal resistance is very crucial. We proposed a liquid packaging structure that could enhance optical power by 27.2% and 70.7% for flat type and lens type 281-nm DUV-LEDs, respectively. A significant improvement effect at different wavelengths, such as 268 nm and 310 nm, was also observed. Furthermore, using the liquid packaging structure, the thermal resistance was reduced by 30.3% compared to the conventional structure. Finally, the reliability of liquid packaging DUV-LEDs was tested. The light output maintenance of liquid packaging DUV-LEDs was compared to the conventional structure.
APA, Harvard, Vancouver, ISO, and other styles
40

Wu, Haiming, Mengdi Guo, Mengzhou Yang, Zhixun Luo, and Klavs Hansen. "Selective C–C and C–N bond activation in dopamine and norepinephrine under deep ultraviolet laser irradiation." Chemical Communications 55, no. 28 (2019): 4015–18. http://dx.doi.org/10.1039/c9cc00444k.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Gong, Mingfeng, Xuejiao Sun, Cheng Lei, et al. "Study on the Degradation Performance of AlGaN-Based Deep Ultraviolet LEDs under Thermal and Electrical Stress." Coatings 14, no. 7 (2024): 904. http://dx.doi.org/10.3390/coatings14070904.

Full text
Abstract:
AlGaN-based deep-ultraviolet (DUV) LEDs could realize higher optical power output when adopting a p-AlGaN contact layer instead of a p-GaN contact layer. However, this new type DUV LEDs exhibit poor reliability. Thus, this study thoroughly investigates the degradation behaviors of AlGaN-based DUV LEDs with a p-AlGaN contact layer through different aging tests, including single thermal stress, single electrical stress with air-cooling, single electrical stress, and thermoelectric complex stress. It can be found that both high temperature and large working current play crucial roles in accelerating the degradation of optoelectronic properties of the DUV LEDs, and the single high thermal stress without electrical stress can also bring obvious performance degradation to the DUV LEDs, which is a significantly different finding from previous studies. This is because thermal stress on DUV LED could bring some metal electrode elements entering the p-AlGaN layer. Thus, the degradation of optical and electrical properties under the thermal and electrical stress could be not only attributed to the degradation of the device’s ohmic contacts, but also due to the metal electrode elements entering the p-AlGaN layer through thermal diffusion, leading to the generation of tunneling current and the generation of defects within or around the active region. Despite that the peak wavelengths of the DUV LEDs remained stable, the turn-on voltage and series resistance increased. Particularly worth mentioning is that the value of the optical power degradation under thermoelectric conditions is larger than the sum of the single thermal and single electrical optical power degradation, which is a result of the mutual reinforcement of thermal and electrical stresses to exacerbate the defect generation and ohmic contact degradation. Based on the study above, preparing p-AlGaN layers with hyperfine gradient aluminum fractions and reducing the junction temperature may help to improve the reliability of AlGaN-based DUV LEDs with the p-AlGaN contact layer.
APA, Harvard, Vancouver, ISO, and other styles
42

Jha, Shankar K., Yasin Ekinci, Mario Agio, and Jörg F. Löffler. "Towards deep-UV surface-enhanced resonance Raman spectroscopy of explosives: ultrasensitive, real-time and reproducible detection of TNT." Analyst 140, no. 16 (2015): 5671–77. http://dx.doi.org/10.1039/c4an01719f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Liu, Xu, Zhihua Yang, and Shilie Pan. "A perspective on deep-ultraviolet nonlinear optical materials." Journal of Applied Physics 135, no. 12 (2024). http://dx.doi.org/10.1063/5.0195432.

Full text
Abstract:
Deep ultraviolet (DUV) nonlinear optical (NLO) crystals have important applications in lithography, microfabrication, and high-resolution photoelectric spectrometer. In recent years, significant progress has been made in both the theoretical design and experimental research of DUV NLO crystals. This review aims to offer a comprehensive perspective on exploring a new generation of DUV NLO materials. First, we summarize various computer-aided strategies for crystal structure design and emphasize their significant role in advancing the discovery of DUV NLO materials. Then, we outline several representative DUV crystals of experimental synthesis. Finally, we discuss the future prospects for exploring new generations of DUV NLO materials. We believe that employing data-driven, computer-aided methods to explore DUV NLO materials will help address the current challenges in the field of DUV NLO materials research. The close integration of calculation and experimentation will unlock new opportunities.
APA, Harvard, Vancouver, ISO, and other styles
44

Sabnis, Ram W., Mary J. Spencer, and Douglas J. Guerrero. "Novel organic, polymeric materials for electronics applications." MRS Proceedings 722 (2002). http://dx.doi.org/10.1557/proc-722-k9.16.

Full text
Abstract:
AbstractNovel organic, polymeric materials and processes of depositing thin films on electronics substrates by chemical vapor deposition (CVD) have been developed and the lithographic behavior of photoresist coated over these CVD films at deep ultraviolet (DUV) wavelength has been evaluated. The specific monomers synthesized for DUV applications include [2.2](1,4)- naphthalenophane, [2.2](9,10)-anthracenophane and their derivatives which showed remarkable film uniformity on flat wafers and conformality over structured topography wafers, upon polymerization by CVD. The chemical, physical and optical properties of the deposited films have been characterized by measuring parameters such as thickness uniformity, solubility, conformality, adhesion to semiconductor substrates, ultraviolet-visible spectra, optical density, optical constants, defectivity, and resist compatibility. Scanning electron microscope (SEM) photos of cross-sectioned patterned wafers showed verticle profiles with no footing, standing waves or undercut. Resist profiles down to 0.10 νm dense lines and 0.09 νm isolated lines were achieved in initial tests. CVD coatings generated 96-100% conformal films, which is a substantial improvement over commercial spin-on polymeric systems. The light absorbing layers have high optical density at 248 nm and are therefore capable materials for DUV lithography applications. CVD is a potentially useful technology to extend lithography for sub-0.15 νm devices. These films have potential applications in microelectronics, optoelectronics and photonics.
APA, Harvard, Vancouver, ISO, and other styles
45

Rooks, M. J., P. Mceuen, S. Wind, and D. E. Prober. "30-nm-Scale Device Fabrication for Electron Transport Studies." MRS Proceedings 76 (1986). http://dx.doi.org/10.1557/proc-76-55.

Full text
Abstract:
ABSTRACTThe study of quantum interference effects in metallic structures requires the lithographic resolution of electron-beam lithography. Resolution and reproducibility can be greatly enhanced by the use of a multilayer resist. We have implemented a polymethylmethacrylate (PMMA) bilayer resist which avoids the typical problem of intermixing of the layers. This is accomplished by an expedient choice of the solvent, xylene, for the upper resist layer. Metal lines 30 nm wide have been fabricated. We also describe an additional deep ultraviolet (DUV) exposure method which facilitates making electrical contact to these ultrasmall structures. Quantum interference, localization effects, and the electron phase-coherence time have been studied.
APA, Harvard, Vancouver, ISO, and other styles
46

Zhao, Yingdong, Riyao Cong, Zijian Chen, Jun Zhao, Pengzhong Chen, and Xiaojun Peng. "Sub‐10‐nm Lithography for Sn4–Oxo Clusters: Effect of Molecular Polarity on Sensitivity and Resolution." Advanced Functional Materials, April 7, 2025. https://doi.org/10.1002/adfm.202423957.

Full text
Abstract:
AbstractTin‐based metal–oxo clusters have recently garnered considerable attention in high‐energy irradiation lithography because of their nanoscale patterning capabilities. However, achieving sub‐10 nm resolution remains a challenge due to uncontrolled latent image gradients after exposure. In this study, the development mechanism of the Sn4–oxo cluster is investigated using a molecular polarity index model. Resolutions of 8 and 17 nm are successfully achieved for Sn4‐TF using electron beam lithography (EBL) and extreme ultraviolet lithography (EUVL), respectively. A novel ultraviolet pre‐irradiation modification strategy is proposed to enhance sensitivity by one‐third for both EBL and EUVL. The experimental findings and theoretical analysis demonstrate that deep ultraviolet (DUV) lithography primarily degrades organic ligands and promotes Sn–O–Sn crosslinking, whereas EBL and EUVL drive both Sn–O–Sn and hydrocarbon crosslinking among Sn4–oxo clusters. This study deepens our understanding of Sn–oxo cluster photolithographic reaction mechanisms, offering critical insights for optimizing developers and enhancing resolution and sensitivity. These findings are expected to aid the realization of sub‐10 nm node technology.
APA, Harvard, Vancouver, ISO, and other styles
47

Scott, J. R., D. Atkinson, and A. O. Adeyeye. "Mapping the transition from quasi-2D to 3D spin textures in NiFe nanomagnets." Applied Physics Letters 124, no. 22 (2024). http://dx.doi.org/10.1063/5.0212429.

Full text
Abstract:
With increasing interest in understanding and mapping the spin textures within magnetic nanostructures, this work reports a study of the transition from quasi-2D magnetic behavior in thin-film ferromagnetic nanostructures to 3D thick-film nanostructures. A series of arrays of 480 × 250 nm2 elliptical Ni81Fe19 nanomagnets patterned using deep ultraviolet (DUV) lithography with thickness (t) ranging from 20 to 250 nm were studied. It is shown through magnetometry and micromagnetics that as the film thickness increases, the nanomagnets transition from effectively planar 2D magnets, with uniform spin textures extending through the film thickness for t ≤ 50 nm, to 3D nanomagnets with more complex non-uniform 3D spin textures for t ≥ 100 nm. These results demonstrate that the fabrication of thick-film nanomagnets via DUV lithography is a viable route to producing consistent 3D magnetic nanostructures for potential applications, such as magnonics.
APA, Harvard, Vancouver, ISO, and other styles
48

Sengupta, S. S., D. Baker, S. Sethi та S. Bothra. "Optimizing Sputtered Tin ARC Film Properties for Lithography of Sub-0.25μm Interconnect". MRS Proceedings 514 (1998). http://dx.doi.org/10.1557/proc-514-539.

Full text
Abstract:
ABSTRACTAdvanced interconnect fabrication may require alternative TiITiN processes, such as an ionized metal plasma (IMP) sputtering technique to deposit Ti/TiN liner for sufficient step coverage in high aspect ratio contacts/vias. Since TiN is also widely used as an anti-reflective coating on top of multilayer metal films, the optical properties of the TiN film are extremely important.In this paper, both IMP and standard PVD TiN films deposited on an aluminum layer are compared with respect to their lithography performance at exposure wavelengths of 365 nm (i-line) and 248 un (deep ultraviolet, or DUV). The measured optical constants of the two films were found to be very similar. The linewidth response of these two films at 365 nm was comparable; however, with DUV patterning, resist footing was observed on both films; but was especially severe with standard TiN. Differences in resist profile are explained in terms of the surface structure and density of the TiN film. By varying the conditions for deposition of IMP TiN (such as, RF bias, chamber pressure, etc.), the optical properties of the films may be varied. The impact of these varying film properties on DUV lithography is evaluated. Experimentally obtained linewidth response is compared with optical lithography simulations.
APA, Harvard, Vancouver, ISO, and other styles
49

Park, Geon‐Tae, Jae‐Hyun Kim, Seunghun Lee, et al. "Conformal Antireflective Multilayers for High‐Numerical‐Aperture Deep‐Ultraviolet Lenses." Advanced Optical Materials, June 25, 2024. http://dx.doi.org/10.1002/adom.202401040.

Full text
Abstract:
AbstractPrecise surface reflectance control at specific deep‐ultraviolet (DUV) wavelengths across wide angles is crucial for semiconductor inspection and lithography tools. The inherent challenges in designing DUV antireflective multilayers stem from limited transparent materials and the resultant fabrication complexity owing to numerous interfaces. Here, wide‐angle antireflective multilayers finely tuned to 248 nm designed using an active learning scheme is presented. The active learning scheme employing factorization machines (FM) identifies the optimal configurations for binary‐material‐based multilayers (AlF3/LaF3, AlF3/MgF2, and AlF3/Al2O3) with varying index contrasts, achieving minimal figure‐of‐merit (i.e., average angular reflectance) values at predetermined total thicknesses. High‐index‐contrast AlF3/Al2O3 multilayers are fabricated via atomic layer deposition, thus enabling the conformal coating of high‐numerical‐aperture (NA) lenses with atomic precision. An optimized AlF3/Al2O3 tri‐layer with a total thickness of 180 nm results in an average (0°–45°) reflectance of 0.4% on a CaF2 planar substrate and 0.6% on a CaF2 convex lens (NA = 0.47), similar to the performance of an ideal single‐layer coating requiring a practically unavailable refractive index. Phasor analysis, which considers only first‐order reflections between adjacent layers, supports the benefits of high‐index‐contrast binary materials and the use of the FM‐based active learning scheme in antireflective multilayer design.
APA, Harvard, Vancouver, ISO, and other styles
50

Lu, Xin-Yu, Rui-Sheng Zhang, Guan-Wen Yang, Qiang Li, Bo Li, and Guang-Peng Wu. "Aqueous Developable and CO2‐Sourced Chemical Amplification Photoresist with High Performance." Angewandte Chemie International Edition, May 5, 2024. http://dx.doi.org/10.1002/anie.202401850.

Full text
Abstract:
Seeking high‐performance photoresist is an important item for semiconductor industry due to the continuous miniaturization and intelligentization of integrated circuits. Polymer resin containing carbonate group has many desirable properties, such as high transmittance, acid sensitivity and chemical formulation, thus serving as potential photoresist material. In this work, a series of aqueous developable CO2‐sourced polycarbonate (CO2‐PC) were produced via alternating copolymerization of CO2 and epoxides bearing acid‐cleavable cyclic acetal groups in the presence of tetranuclear organoborane catalyst. The produced CO2‐PCs were investigated as chemical amplification resists in deep ultraviolet (DUV) lithography. Under the catalysis of photoacid, the acetal (ketal) groups in CO2‐PC were hydrolysed into two equivalents of hydroxyl groups, which changes the exposed areas from hydrophobicity to hydrophilicity, thus enabling the exposed regions to be developed in water. Through normalized remaining thickness analysis, the optimal CO2‐derived resist achieved a remarkable sensitivity of 1.9 mJ/cm2, a contrast of 7.9, a favorable resolution (750 nm, half pitch), and etching resistance (38% higher than poly(tert‐butyl acrylate)). Such performances outperforming commercial KrF and ArF chemical amplification resists (i.e., polyhydroxystyrene‐derived and polymethacrylate‐based resists), which endows broad application prospects in the field of DUV (248 nm and 193 nm) and extreme ultraviolet (EUV) lithography and nanomanufacturing.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!