To see the other types of publications on this topic, follow the link: Dielectric Materials.

Journal articles on the topic 'Dielectric Materials'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Dielectric Materials.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Singh, Rajenda, and Richard K. Ulrich. "High and Low Dielectric Constant Materials." Electrochemical Society Interface 8, no. 2 (June 1, 1999): 26–30. http://dx.doi.org/10.1149/2.f06992if.

Full text
Abstract:
Silicon-based dielectrics (SiO2, Si3N4, SiOxNy etc.) have been widely used as the key dielectrics in the manufacturing of silicon integrated circuits (ICs) and virtually all other semiconductor devices. Dielectrics having a value of dielectric constant k × 8.854 F/cm more than that of silicon nitride (k > 7) are classified as high dielectric constant materials, while those with a value of k less than the dielectric constant of silicon dioxide (k < 3.9) are classified as the low dielectric constant materials. The minimum value of (k) is one for air. The highest value of k has been reported for relaxor ferroelectric (k = 24,700 at 1 kHz).
APA, Harvard, Vancouver, ISO, and other styles
2

Sathyakam, P. Uma, and Partha S. Mallick. "Future Dielectric Materials for CNT Interconnects - Possibilities and Challenges." Journal of Nano Research 52 (May 2018): 21–42. http://dx.doi.org/10.4028/www.scientific.net/jnanor.52.21.

Full text
Abstract:
Carbon nanotube (CNT) interconnects are emerging as the ultimate choice for next generation ultra large scale integrated (ULSI) circuits. Significant progress in precise growth of aligned CNTs and integration of multiwalled CNT interconnects into a test chip make them promising candidates for future nanoelectronic chips. Tremendous research efforts were made on silicon based ultra-low-k dielectrics for Cu interconnects, but, the most recent advancements in polymer based composites as dielectric materials open up fresh challenges in the use of low-k dielectrics for CNT interconnects. This paper reviews the emerging polymer composites like Boron Nitride Nanotubes, Graphene/Polyimide composites, Metal Organic Frameworks and small diameter CNTs. Many reviews are already exists on the synthesis, fabrication, dielectric, mechanical, chemical and thermal properties of these materials. In this review, we have explained the specific properties of these materials and the necessities for integrating them into CNT interconnects to meet the requirements of future IC designers.Keywords: low-k dielectric materials, ultra low-k dielectrics, carbon nanotubes, interconnects, dielectric constant,
APA, Harvard, Vancouver, ISO, and other styles
3

BERSUKER, GENNADI, BYOUNG HUN LEE, and HOWARD R. HUFF. "Novel Dielectric Materials for Future Transistor Generations." International Journal of High Speed Electronics and Systems 16, no. 01 (March 2006): 221–39. http://dx.doi.org/10.1142/s012915640600362x.

Full text
Abstract:
Relations between the electronic properties of high-k materials and electrical characteristics of high-k transistor are discussed. It is pointed out that the intrinsic limitations of these materials from the standpoint of gate dielectric applications are related to the presence of d-electrons, which facilitate high values of the dielectric constant. It is shown that the presence of structural defects responsible for electron trapping and fixed charges, and the dielectrics' tendency for crystallization and phase separation induce threshold voltage instability and mobility degradation in high-k transistors. The quality of the SiO 2-like layer at the high-k/ Si substrate interface, as well as dielectric interaction with the gate electrode, may significantly affect device characteristics.
APA, Harvard, Vancouver, ISO, and other styles
4

Baklanov, Mikhail R., and Karen Maex. "Porous low dielectric constant materials for microelectronics." Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences 364, no. 1838 (November 29, 2005): 201–15. http://dx.doi.org/10.1098/rsta.2005.1679.

Full text
Abstract:
Materials with a low dielectric constant are required as interlayer dielectrics for the on-chip interconnection of ultra-large-scale integration devices to provide high speed, low dynamic power dissipation and low cross-talk noise. The selection of chemical compounds with low polarizability and the introduction of porosity result in a reduced dielectric constant. Integration of such materials into microelectronic circuits, however, poses a number of challenges, as the materials must meet strict requirements in terms of properties and reliability. These issues are the subject of the present paper.
APA, Harvard, Vancouver, ISO, and other styles
5

Zhao, Cuijiao, Xiaonan Wei, Yawen Huang, Jiajun Ma, Ke Cao, Guanjun Chang, and Junxiao Yang. "Preparation and unique dielectric properties of nanoporous materials with well-controlled closed-nanopores." Physical Chemistry Chemical Physics 18, no. 28 (2016): 19183–93. http://dx.doi.org/10.1039/c6cp00465b.

Full text
Abstract:
Although general porous materials have a low dielectric constant, their uncontrollable opened porous structure results in high dielectric loss and poor barrier properties, thus limiting their application as interconnect dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
6

Phillips, Jonathan. "Theoretical and experimental basis for the super dielectric model of dielectric materials." Physics Essays 33, no. 3 (September 11, 2020): 306–18. http://dx.doi.org/10.4006/0836-1398-33.3.306.

Full text
Abstract:
Two theories of the fields generated by charges on parallel plate capacitors, the standard model (SM) found in virtually all text books and the recently proposed super dielectric material-theory (SDM-Theory), are described, and contrasted, in terms of theory and experimentally tested predictions. Only the SDM-Theory model is found to be consistent with thermodynamics, basic field theory, and experimental results. According to the SM, dielectrics in the volume between the electrodes of a parallel plate capacitor store the energy in a capacitor in the form of greatly, relative to the no dielectric case, increased electric field strength. This model is shown to be inconsistent with path independent changes in state property (e.g., voltage), and predicts, incorrectly, that dielectric material outside the volume between the electrodes will have no effect on any measurable properties such as capacitance and energy density. In contrast, according to SDM-Theory, a theory shown to be consistent with path independent changes in state properties, as well as “conservative field theory,” the increased stored energy in the presence of dielectrics is not associated with energy in fields, but rather it is due to the “extra” charges stored on the electrodes. The extra charge is required to create a given net field in the presence of a dielectric. Indeed, according to SDM-Theory, the effect of dielectric material, because its polarization is opposite to the electrodes, reduces the net field at all points in space, including within the volume of the dielectric. This is the absolute opposite of the “action” of a dielectric predicated by the SM. In the SDM-Theory, at a given capacitor voltage, virtually identical net fields exist with and without a dielectric, but the capacitance (amount of stored charge) and stored energy, a linear function of the amount of stored charge, of the latter configuration can be many orders of magnitude greater. Moreover, SDM-Theory predicts, consistent with recent observations, that dielectric material external to the volume between electrodes should be nearly as effective at increasing capacitance, etc., as the same dielectric material between the electrodes.
APA, Harvard, Vancouver, ISO, and other styles
7

Yang, Bingbing, Yiqian Liu, Shun Lan, Lvye Dou, Ce-Wen Nan, and Yuan-Hua Lin. "High-entropy design for dielectric materials: Status, challenges, and beyond." Journal of Applied Physics 133, no. 11 (March 21, 2023): 110904. http://dx.doi.org/10.1063/5.0138877.

Full text
Abstract:
Dielectric materials featured with polarization at an applied electric field have been demonstrated with a wide range of applications such as energy storage and conversion, thus triggering tremendous efforts in scientific and industrial research. To date, numerous strategies have been explored to improve the performance of dielectric materials; especially, the recently reported high-entropy design enabling flexible composition configuration and tunable functional properties has attracted increasing attention. In this contribution, we review the very recent investigations and applications of high-entropy design for dielectric materials, including dielectric energy storage, electrocalorics, piezoelectrics, and ferroelectrics, and address the challenges and remaining concerns. Finally, we suggest future research directions for the preparation and in-depth structure characterization of high-entropy dielectric materials. This review will provide a holistic view of the most state-of-the-art high-entropy dielectric materials and envision prospects of high-entropy design for dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
8

Busch, Brett W., Olivier Pluchery, Yves J. Chabal, David A. Muller, Robert L. Opila, J. Raynien Kwo, and Eric Garfunkel. "Materials Characterization of Alternative Gate Dielectrics." MRS Bulletin 27, no. 3 (March 2002): 206–11. http://dx.doi.org/10.1557/mrs2002.72.

Full text
Abstract:
AbstractContinued scaling of microelectronic devices is demanding that alternatives to SiO2 as the gate dielectric be developed soon. This in turn has placed enormous pressure on the abilities of physical characterization techniques to address critical issues such as film and interface structure and composition, transport properties, and thermal or chemical stability. This article summarizes the strengths and capabilities of four techniques used for the materials characterization of alternative gate dielectrics: scanning transmission electron microscopy (STEM) in conjunction with electron energy-loss spectroscopy (EELS), medium-energy ion scattering (MEIS), infrared-absorption spectroscopy (IRAS), and x-ray photoelectron spectroscopy (XPS). The complementary nature of these techniques has allowed for a detailed picture of the various properties of alternative gate dielectrics, and in particular of the dielectric/silicon interface. Critical issues and features of several important alternative gate dielectrics, ZrO2, AI2O3, Y2O3, and Gd2O3, are explored in light of the well-studied SiO2/Si system.
APA, Harvard, Vancouver, ISO, and other styles
9

Anju Balaraman, Anina, and Soma Dutta. "Inorganic dielectric materials for energy storage applications: a review." Journal of Physics D: Applied Physics 55, no. 18 (January 19, 2022): 183002. http://dx.doi.org/10.1088/1361-6463/ac46ed.

Full text
Abstract:
Abstract The intricacies in identifying the appropriate material system for energy storage applications have been the biggest struggle of the scientific community. Countless contributions by researchers worldwide have now helped us identify the possible snags and limitations associated with each material/method. This review intends to briefly discuss state of the art in energy storage applications of dielectric materials such as linear dielectrics, ferroelectrics, anti-ferroelectrics, and relaxor ferroelectrics. Based on the recent studies, we find that the eco-friendly lead-free dielectrics, which have been marked as inadequate to compete with lead-based systems, are excellent for energy applications. Moreover, some promising strategies to improve the functional properties of dielectric materials are discussed.
APA, Harvard, Vancouver, ISO, and other styles
10

Lu, Feng Ming, Jiang Shao, Xiao Yu Liu, and Xing Hao Wang. "Research on TDDB Effect in High-k Materials." Advanced Materials Research 548 (July 2012): 203–8. http://dx.doi.org/10.4028/www.scientific.net/amr.548.203.

Full text
Abstract:
With continual scaling of ICs, the thickness of gate oxide becomes thinner and thinner which affects the reliability of semiconductor device greatly. The mechanism of time-dependent dielectric breakdown (TDDB) was analyzed. Six mathematical models of TDDB which were divided according to the position of defects and the physical property of charged particles were discussed. Then the dielectric breakdown characteristic of high k dielectrics and the relationships between the breakdown electric field, field acceleration parameter and dielectric constant were analyzed in detail. Finally, the relationships and mathematical models were verified by experimental data which provided theoretical basis for the choosing and use of high k materials.
APA, Harvard, Vancouver, ISO, and other styles
11

He, Yan Gang, Jia Xi Wang, Xiao Wei Gan, Wei Juan Li, and Yu Ling Liu. "Effect of Colloidal Silica as Abrasive on Low-k Dielectric Materials in Chemical Mechanical Planarization." Advanced Materials Research 455-456 (January 2012): 1149–52. http://dx.doi.org/10.4028/www.scientific.net/amr.455-456.1149.

Full text
Abstract:
With low-k dielectric materials taking the place of oxide dielectrics as the primary dielectric materials, the low-k dielectric materials and interconnection Cu metals during Chemical Mechanical Planarization (CMP) is becoming a critical surface quality issue as well. In this study, experiments are carefully designed and conducted to investigate the effects of colloidal silica under compared acidic slurry and self-prepared alkaline slurry on k value of low-k dielectric materials, and in both of the slurry, colloidal silica (20~30nm) was used as polishing abrasive. The results showed that k value of low-k dielectric materials both increased within a similar range (self-prepared alkaline slurry, 3.27~3.33; commercial acidic slurry, 3.26~3.32), however, the results showed a obviously different result from reference’s report.
APA, Harvard, Vancouver, ISO, and other styles
12

Dou, Lvye, Yuan-Hua Lin, and Ce-Wen Nan. "An Overview of Linear Dielectric Polymers and Their Nanocomposites for Energy Storage." Molecules 26, no. 20 (October 12, 2021): 6148. http://dx.doi.org/10.3390/molecules26206148.

Full text
Abstract:
As one of the most important energy storage devices, dielectric capacitors have attracted increasing attention because of their ultrahigh power density, which allows them to play a critical role in many high-power electrical systems. To date, four typical dielectric materials have been widely studied, including ferroelectrics, relaxor ferroelectrics, anti-ferroelectrics, and linear dielectrics. Among these materials, linear dielectric polymers are attractive due to their significant advantages in breakdown strength and efficiency. However, the practical application of linear dielectrics is usually severely hindered by their low energy density, which is caused by their relatively low dielectric constant. This review summarizes some typical studies on linear dielectric polymers and their nanocomposites, including linear dielectric polymer blends, ferroelectric/linear dielectric polymer blends, and linear polymer nanocomposites with various nanofillers. Moreover, through a detailed analysis of this research, we summarize several existing challenges and future perspectives in the research area of linear dielectric polymers, which may propel the development of linear dielectric polymers and realize their practical application.
APA, Harvard, Vancouver, ISO, and other styles
13

Ghule, B., and M. Laad. "Polymer Composites with Improved Dielectric Properties: A Review." Ukrainian Journal of Physics 66, no. 2 (March 4, 2021): 166. http://dx.doi.org/10.15407/ujpe66.2.166.

Full text
Abstract:
Materials exhibiting high dielectric constant (k) values find applications in capacitors, gate dielectrics, dielectric elastomers, energy storage device, while materials with low dielectric constant are required in electronic packaging and other such applications. Traditionally, high k value materials are associated with high dielectric losses, frequency-dependent dielectric behavior, and high loading of a filler. Materials with low k possess a low thermal conductivity. This creates the new challenges in the development of dielectric materials in both kinds of applications. Use of high dielectric constant filler materials increases the dielectric constant. In this study,the factors affecting the dielectric constant and the dielectric strength of polymer composites are explored. The present work aims to study the effect of various parameters affecting the dielectric properties of the materials. The factors selected in this study are the type of a polymer, type of a filler material used, size, shape, loading level and surface modification of a filler material, and method of preparation of the polymer composites. The study is focused on the dielectric enhancement of polymer nanocomposites used in the field of energy storage devices. The results show that the core-shell structured approach for high dielectric constant materials incorporated in a polymer matrix improves the dielectric constant of the polymer composite.
APA, Harvard, Vancouver, ISO, and other styles
14

Zhang, Lei, Jiale Mao, Shuang Wang, Yiting Zheng, Xiangdong Liu, and Yonghong Cheng. "Benzoxazine Based High Performance Materials with Low Dielectric Constant: A Review." Current Organic Chemistry 23, no. 7 (July 16, 2019): 809–22. http://dx.doi.org/10.2174/1385272823666190422130917.

Full text
Abstract:
Interlayer dielectrics with low dielectric constant are the key to unlock the high arithmetic speed of integrated circuit, one of the kernels of modern industry. Polybenzoxazine, derived from benzoxazine precursor, is a new generation of phenolic resin that is considered as an ideal potential candidate for electronic materials due to its unique properties. However, for developing higher speed supercomputers, the dielectric property of conventional polybenzoxazine becomes the Achilles’ heel. Fortunately, the versatile design flexibility of benzoxazine chemistry provides the possibility to reduce the dielectric constant of the material. This review focuses on the recent attempts to synthesize low dielectric benzoxazine and the properties of the corresponding polybenzoxazine materials. Methods including fluorination, hydrocarbon introduction, heterocycle generation, molecular weight tailoring, copolymerization and organic-inorganic hybridization are introduced.
APA, Harvard, Vancouver, ISO, and other styles
15

Wallace, Robert M., and Glen Wilk. "Alternative Gate Dielectrics for Microelectronics." MRS Bulletin 27, no. 3 (March 2002): 186–91. http://dx.doi.org/10.1557/mrs2002.70.

Full text
Abstract:
AbstractThis brief article sets the context for the March 2002 issue of MRS Bulletin focusing on Alternative Gate Dielectrics for Microelectronics. Contributors are several experts from industry and academia engaged in the search for manufacturable solutions for a suitable alternative gate dielectric to SiO2 using high-dielectric-constant (high-ĸ) materials. Issues discussed in the articles include thermodynamics criteria for materials selection, materials interactions in the construction of the transistor gate stack, characterization of alternative materials, determination of suitable band offsets for candidate dielectrics, and integration of these alternative gate dielectrics in a manufacturable environment.
APA, Harvard, Vancouver, ISO, and other styles
16

Naik, Tejas R., Veena R. Naik, and Nisha P. Sarwade. "Novel Materials as Interlayer Low-K Dielectrics for CMOS Interconnect Applications." Applied Mechanics and Materials 110-116 (October 2011): 5380–83. http://dx.doi.org/10.4028/www.scientific.net/amm.110-116.5380.

Full text
Abstract:
Scaling down the integrated circuits has resulted in the arousal of number of problems like interaction between interconnect, crosstalk, time delay etc. These problems can be overcome by new designs and by use of corresponding novel materials, which may be a solution to these problems. In the present paper we try to put forward very recent development in the use of novel materials as interlayer dielectrics (ILDs) having low dielectric constant (k) for CMOS interconnects. The materials presented here are porous and hybrid organo-inorganic new generation interlayer dielectric materials possessing low dielectric constant and better processing properties.
APA, Harvard, Vancouver, ISO, and other styles
17

Fromille, Samuel, and Jonathan Phillips. "Super Dielectric Materials." Materials 7, no. 12 (December 22, 2014): 8197–212. http://dx.doi.org/10.3390/ma7128197.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Obeng, Y. S., K. G. Steiner, A. N. Velaga, and C. S. Pai. "New Dielectric Materials." Electrochemical Society Interface 4, no. 3 (September 1, 1995): 35–38. http://dx.doi.org/10.1149/2.f07953if.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Umeda, Yuji, Hiroyuki Hayashi, Hiroki Moriwake, and Isao Tanaka. "Materials informatics for dielectric materials." Japanese Journal of Applied Physics 57, no. 11S (August 28, 2018): 11UB01. http://dx.doi.org/10.7567/jjap.57.11ub01.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Yamada, Yusuke. "Dielectric Properties of Textile Materials: Analytical Approximations and Experimental Measurements—A Review." Textiles 2, no. 1 (January 14, 2022): 50–80. http://dx.doi.org/10.3390/textiles2010004.

Full text
Abstract:
Deciphering how the dielectric properties of textile materials are orchestrated by their internal components has far-reaching implications. For the development of textile-based electronics, which have gained ever-increasing attention for their uniquely combined features of electronics and traditional fabrics, both performance and form factor are critically dependent on the dielectric properties. The knowledge of the dielectric properties of textile materials is thus crucial in successful design and operation of textile-based electronics. While the dielectric properties of textile materials could be estimated to some extent from the compositional profiles, recent studies have identified various additional factors that have also substantial influence. From the viewpoint of materials characterization, such dependence of the dielectric properties of textile materials have given rise to a new possibility—information on various internal components could be, upon successful correlation, extracted by measuring the dielectric properties. In view of these considerable implications, this invited review paper summarizes various fundamental theories and principles related to the dielectric properties of textile materials. In order to provide an imperative basis for uncovering various factors that intricately influence the dielectric properties of textile materials, the foundations of the dielectrics and polarization mechanisms are first recapitulated, followed by an overview on the concept of homogenization and the dielectric mixture theory. The principal advantages, challenges and opportunities in the analytical approximations of the dielectric properties of textile materials are then discussed based on the findings from the recent literature, and finally a variety of characterization methods suitable for measuring the dielectric properties of textile materials are described. It is among the objectives of this paper to build a practical signpost for scientists and engineers in this rapidly evolving, cross-disciplinary field.
APA, Harvard, Vancouver, ISO, and other styles
21

Ryan, E. Todd, Andrew J. McKerrow, Jihperng Leu, and Paul S. Ho. "Materials Issues and Characterization of Low-k Dielectric Materials." MRS Bulletin 22, no. 10 (October 1997): 49–54. http://dx.doi.org/10.1557/s0883769400034205.

Full text
Abstract:
Continuing improvement in device density and performance has significantly affected the dimensions and complexity of the wiring structure for on-chip interconnects. These enhancements have led to a reduction in the wiring pitch and an increase in the number of wiring levels to fulfill demands for density and performance improvements. As device dimensions shrink to less than 0.25 μm, the propagation delay, crosstalk noise, and power dissipation due to resistance-capacitance (RC) coupling become significant. Accordingly the interconnect delay now constitutes a major fraction of the total delay limiting the overall chip performance. Equally important is the processing complexity due to an increase in the number of wiring levels. This inevitably drives cost up by lowering the manufacturing yield due to an increase in defects and processing complexity.To address these problems, new materials for use as metal lines and interlayer dielectrics (ILDs) and alternative architectures have surfaced to replace the current Al(Cu)/SiO2 interconnect technology. These alternative architectures will require the introduction of low-dielectric-constant k materials as the interlayer dielectrics and/or low-resistivity conductors such as copper. The electrical and thermomechanical properties of SiO2 are ideal for ILD applications, and a change to material with different properties has important process-integration implications. To facilitate the choice of an alternative ILD, it is necessary to establish general criterion for evaluating thin-film properties of candidate low-k materials, which can be later correlated with process-integration problems.
APA, Harvard, Vancouver, ISO, and other styles
22

Choi, Junhwan, and Hocheon Yoo. "Combination of Polymer Gate Dielectric and Two-Dimensional Semiconductor for Emerging Field-Effect Transistors." Polymers 15, no. 6 (March 10, 2023): 1395. http://dx.doi.org/10.3390/polym15061395.

Full text
Abstract:
Two-dimensional (2D) materials are considered attractive semiconducting layers for emerging field-effect transistors owing to their unique electronic and optoelectronic properties. Polymers have been utilized in combination with 2D semiconductors as gate dielectric layers in field-effect transistors (FETs). Despite their distinctive advantages, the applicability of polymer gate dielectric materials for 2D semiconductor FETs has rarely been discussed in a comprehensive manner. Therefore, this paper reviews recent progress relating to 2D semiconductor FETs based on a wide range of polymeric gate dielectric materials, including (1) solution-based polymer dielectrics, (2) vacuum-deposited polymer dielectrics, (3) ferroelectric polymers, and (4) ion gels. Exploiting appropriate materials and corresponding processes, polymer gate dielectrics have enhanced the performance of 2D semiconductor FETs and enabled the development of versatile device structures in energy-efficient ways. Furthermore, FET-based functional electronic devices, such as flash memory devices, photodetectors, ferroelectric memory devices, and flexible electronics, are highlighted in this review. This paper also outlines challenges and opportunities in order to help develop high-performance FETs based on 2D semiconductors and polymer gate dielectrics and realize their practical applications.
APA, Harvard, Vancouver, ISO, and other styles
23

Lo, Wai, Arvind Kamath, Shreyas Kher, Craig Metzner, Jianguo Wen, and Zhihao Chen. "Deposition and characterization of HfO2 high k dielectric films." Journal of Materials Research 19, no. 6 (June 2004): 1775–82. http://dx.doi.org/10.1557/jmr.2004.0247.

Full text
Abstract:
As the scaling of complementary metal-oxide-semiconductor (CMOS) transistors proceeds, the thickness of the SiO2 gate dielectrics shrinks rapidly and results in higher gate leakage currents. High k dielectric materials are acknowledged to be the possible solutions to this challenge, as their higher k values (e.g., 15–50) raise the physical thickness of the dielectrics that provide similar equivalent thickness of a thinner SiO2 film. In order for the high k materials to be applicable in CMOS devices, there should exist deposition technologies that can deposit highly uniform films over Si wafers with diameters as large as 200 mm. This report discusses the deposition process and the correlation between the growth conditions, structural and dielectric properties of HfO2, which is one of the most promising high k dielectric materials. Judging from the thickness uniformity, surface roughness, k value, and interfacial density of state of the HfO2 films, the metalorganic chemical vapor deposition technique was identified to be suitable for growing HfO2 films targeted at applications as CMOS gate dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
24

Treichel, H., G. Ruhl, P. Ansmann, R. Würl, Ch Müller, and M. Dietlmeier. "Low dielectric constant materials for interlayer dielectric." Microelectronic Engineering 40, no. 1 (February 1998): 1–19. http://dx.doi.org/10.1016/s0167-9317(97)00185-8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Liu, Hong, Saman Dharmatilleke, Devendra K. Maurya, and Andrew A. O. Tay. "Dielectric materials for electrowetting-on-dielectric actuation." Microsystem Technologies 16, no. 3 (November 7, 2009): 449–60. http://dx.doi.org/10.1007/s00542-009-0933-z.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Pietrikova, Alena, Kornel Ruman, Tibor Rovensky, and Igor Vehec. "Impact analysis of LTCC materials on microstrip filters’ behaviour up to 13 GHz." Microelectronics International 32, no. 3 (August 3, 2015): 122–25. http://dx.doi.org/10.1108/mi-01-2015-0003.

Full text
Abstract:
Purpose – The purpose of this paper is to consider the adequacy of various microstrip filters’ behaviour based on different low-temperature co-fired ceramic (LTCC) dielectrics in the high frequency (HF) area up to 13 GHz. Design/methodology/approach – Low pass, band pass and band stop filters for ultra-wideband radar systems were designed, simulated, fabricated and measured using three various dielectric substrates: Dupont GreenTape 951, Dupont GreenTape 9K7 and Murata LFC. Findings – It is not possible to unambiguously determine the most suitable LTCC dielectric for these filter design because, in general, all designed filters fulfilled requirements (attenuation, cut off frequencies) with minimal divergences, but temperature-stable dielectric and physical properties of Murata LFC make them a promising ceramic for HF application (repeatability of realised experiments). Originality/value – The novelty of this work lies in unconventional usage of LTCC as material with defined dielectric properties proper for HF applications.
APA, Harvard, Vancouver, ISO, and other styles
27

Tumarkin, A. V., E. N. Sapego, A. G. Gagarin, N. G. Tyurnina, Z. G. Tyurnina, O. Yu Sinelshchikova, and S. I. Sviridov. "Structural and Electrical Properties of Glass-Ceramic Ferroelectric Composite Materials." Journal of the Russian Universities. Radioelectronics 25, no. 3 (June 28, 2022): 86–95. http://dx.doi.org/10.32603/1993-8985-2022-25-3-86-95.

Full text
Abstract:
Introduction. Materials exhibiting high dielectric permittivity are relevant for use in modern ultrahigh-frequency electronics. Among them, ferroelectrics with high dielectric nonlinearity present particular interest. The electrical strength of ferroelectric materials can be increased using modern composite structures based on mixing ferroelectries and linear dielectrics - materials exhibiting simultaneously low dielectric permittivity and high electrical strength. This approach provides for the opportunity of creating new multicomponent materials with previously unattainable properties and adjusting their component composition, inclusion size and electrical properties across a wide range. In this work, on the basis of porous potassium-iron-silicate glass (KFS) obtained by ion exchange, glass-ceramic materials containing barium titanate were synthesized for use at ultrahigh frequencies.Aim. Production of glass composites by low-temperature sintering of pre-synthesized BaTiO3 (BTO) and potassium-iron-silicate glass, as well as characterization of their structural and electrical properties at ultrahigh frequencies (microwave).Materials and methods. The crystal structure and phase composition of the obtained films were studied by X-ray diffraction using a DRON-6 diffractometer by the emission spectral line CuKα1 (λ = 1.5406 Å). The dielectric permittivity (ε) of microwave samples was evaluated by the Nicholson-Ross method at room temperature using an Agilent E4980A LCR-meter.Results. According to X-ray diffraction analysis, the synthesized samples are a mixture of KFS glass, ferroelectric BaTiO3 and dielectric barium polytitanates; the ratio of the latter determines the electrical properties of the composites. Depending on the content of barium titanate, the studied samples demonstrate a dielectric constant from 50 to 270 at a dielectric loss level of 0.1...0.02. The samples subjected to annealing in an oxygen medium showed an increase in dielectric permittivity by 10.25 % and an increase in controllability with a decrease in dielectric losses by an average of two times.Conclusion. The composite composition of 70 wt % BTO /30 wt % KFS was found to be the most promising in terms of structural and electrical properties. This composite showed an increase in dielectric permittivity by 25 % and a significant increase in nonlinearity, at the same time as reducing losses by more than two times as a result of annealing in an oxygen medium.
APA, Harvard, Vancouver, ISO, and other styles
28

Kanwal, Samra, Chun Yun Kee, Samuel Y. W. Low, Muhammad Zubair, and L. K. Ang. "Capacitance for fractal-like disordered dielectric slab." Journal of Applied Physics 132, no. 2 (July 14, 2022): 024104. http://dx.doi.org/10.1063/5.0100159.

Full text
Abstract:
In this paper, we model a heterogeneous dielectric medium exhibiting fractal geometry or disordered random structures by applying non-integer dimensions to determine its capacitance between two parallel plates. The capacitance depends on the fractional dimensions of the fractal or disordered dielectric slab, which may be obtained from the theoretical fractal dimension or box-counting method. The findings are verified by CST Studio Suite (Electromagnetic field simulation software), experimental measurements, and the equivalent capacitance method. Five common types of fractals (Cantor bars/plates, Sierpinski carpet, Sierpinski triangle, Haferman carpet, and Menger sponge) and random structures are tested with good agreement. There is also an effective gain of capacitance in using less amount of dielectric materials, which may be useful in material-savings of dielectrics. This research shows a useful tool in modeling the capacitance of heterogeneous materials, where fractals and disordered structures may be commonly encountered in organic materials and any dielectrics where precision and fabrication are not perfect.
APA, Harvard, Vancouver, ISO, and other styles
29

Endo, Kazuhiko. "Fluorinated Amorphous Carbon as a Low-Dielectric-Constant Interlayer Dielectric." MRS Bulletin 22, no. 10 (October 1997): 55–58. http://dx.doi.org/10.1557/s0883769400034217.

Full text
Abstract:
Low-k organic polymers such as polytetrafluoroethylene (PTFE) are promising materials for use as interlayer dielectrics (ILD) because their dielectric constants are generally lower than those of inorganic materials. However poor adhesion with Si substrates, poor thermal stability, and production difficulties have hindered their use in microelectronics.On the other hand, plasma-enhanced chemical vapor deposition (PECVD) of polymer films (plasma polymerization) has many advantages that help to overcome these problems. Plasma-enhanced chemical vapor deposition uses a glow discharge to create activated species such as radicals and ions from the original monomer, and the polymer films are deposited through various gas-phase and surface reactions of these active species, including ablation of the deposited film. No water is generated during plasma polymerization, and the influence of a solvent can be ignored. Also a layered structure that promotes adhesion can be easily fabricated by changing the source compounds.Recently the use of fluorinated amorphous carbon thin films (a-C:F) as new low-dielectric-constant interlayer dielectrics has been proposed. These thin films have an amorphous C–C cross-linked structure (including sp3 and sp2 bonded carbon) and have the same C–F bonds found in PTFE. The strong C–F bonds decrease the dielectric constant, and the C–C crosslinked structure maintains the film's thermal stability. The a-C:F film can be deposited from fluorocarbon source materials using PECVD. Typically fluorocarbons such as CF4, C2F6, C4F8, and their hydrogen mixtures are used as source materials. First the a-C:F films for low-k ILD, with a dielectric constant of 2.1, were deposited from CH4 + CF4 mixtures by using parallel-plate PECVD.
APA, Harvard, Vancouver, ISO, and other styles
30

Park, Se Yeon, Moonjeong Jang, Wooseok Song, Sun Sook Lee, Dae Ho Yoon, and Ki-Seok An. "Boosted dielectric performance of organic–inorganic nanocomposites based on BaTiO3 via 2D TiO2 templates." Functional Composites and Structures 3, no. 4 (December 1, 2021): 045009. http://dx.doi.org/10.1088/2631-6331/ac4279.

Full text
Abstract:
Abstract Organic–inorganic hybrid dielectrics composed of nanoscale ceramic fillers in polymer matrices have attracted considerable attention because they can overcome the inherent limitations such as the low dielectric constant, high dielectric loss, and low film density associated with mechanically flexible pristine polymer materials. Barium titanate (BaTiO3), a representative perovskite-based material with a high permittivity, is suitable for applications as nanofillers in nanocomposite dielectrics. X-ray diffraction combined with Raman analysis suggest that a two-step hydrothermal synthesis, which uses synthesized TiO2 nanosheets as a template, is an effective method for the synthesis of pure BaTiO3 nanoparticles compared with other methods. Ultrasonic treatment is employed to disperse BaTiO3 nanoparticles with different concentrations in polyvinyl alcohol (PVA) polymer, and the dielectric performance of the nanocomposite films has been examined. In this study, 20 wt% BaTiO3–PVA nanocomposite dielectric showed superior capacitance and dielectric constant performance, i.e. five times higher than that of the pristine PVA.
APA, Harvard, Vancouver, ISO, and other styles
31

Ling, H. C., M. F. Yan, and W. W. Rhodes. "High dielectric constant and small temperature coefficient bismuth-based dielectric compositions." Journal of Materials Research 5, no. 8 (August 1990): 1752–62. http://dx.doi.org/10.1557/jmr.1990.1752.

Full text
Abstract:
We have studied the crystal structure and the dielectric properties of a scries of Bi-based ceramic compositions as a function of compositional variation and sintering temperature. These dielectrics have dielectric constants hetween 70 and 165 and their temperature coefficients are within ±500 × 10−6/°C. The precise temperature coefficient can be controlled via compositional changes such that dielectrics with temperature coefficients within ±50 × 10−6/°C are easily obtainable. The room temperature dissipation factor is smaller than 0.001 or equivalently, the Q value is greater than 1000. The electrical resistivity is greater than 1014 ohm-cm. Furthermore, these dielectrics are sinterable below 960 °C, rendering it possible to use silver or high silver metallization as the internal electrode in making the multilayer ceramic capacitors.
APA, Harvard, Vancouver, ISO, and other styles
32

Hong, Nianmin, Yinong Zhang, Quan Sun, Wenjie Fan, Menglu Li, Meng Xie, and Wenxin Fu. "The Evolution of Organosilicon Precursors for Low-k Interlayer Dielectric Fabrication Driven by Integration Challenges." Materials 14, no. 17 (August 25, 2021): 4827. http://dx.doi.org/10.3390/ma14174827.

Full text
Abstract:
Since the application of silicon materials in electronic devices in the 1950s, microprocessors are continuously getting smaller, faster, smarter, and larger in data storage capacity. One important factor that makes progress possible is decreasing the dielectric constant of the insulating layer within the integrated circuit (IC). Nevertheless, the evolution of interlayer dielectrics (ILDs) is not driven by a single factor. At first, the objective was to reduce the dielectric constant (k). Reduction of the dielectric constant of a material can be accomplished by selecting chemical bonds with low polarizability and introducing porosity. Moving from silicon dioxide, silsesquioxane-based materials, and silica-based materials to porous silica materials, the industry has been able to reduce the ILDs’ dielectric constant from 4.5 to as low as 1.5. However, porous ILDs are mechanically weak, thermally unstable, and poorly compatible with other materials, which gives them the tendency to absorb chemicals, moisture, etc. All these features create many challenges for the integration of IC during the dual-damascene process, with plasma-induced damage (PID) being the most devastating one. Since the discovery of porous materials, the industry has shifted its focus from decreasing ILDs’ dielectric constant to overcoming these integration challenges. More supplementary precursors (such as Si–C–Si structured compounds), deposition processes (such as NH3 plasma treatment), and post porosity plasma protection treatment (P4) were invented to solve integration-related challenges. Herein, we present the evolution of interlayer dielectric materials driven by the following three aspects, classification of dielectric materials, deposition methods, and key issues encountered and solved during the integration phase. We aim to provide a brief overview of the development of low-k dielectric materials over the past few decades.
APA, Harvard, Vancouver, ISO, and other styles
33

Susarla, Sandhya, Thierry Tsafack, Peter Samora Owuor, Anand B. Puthirath, Jordan A. Hachtel, Ganguli Babu, Amey Apte, et al. "High-K dielectric sulfur-selenium alloys." Science Advances 5, no. 5 (May 2019): eaau9785. http://dx.doi.org/10.1126/sciadv.aau9785.

Full text
Abstract:
Upcoming advancements in flexible technology require mechanically compliant dielectric materials. Current dielectrics have either high dielectric constant, K (e.g., metal oxides) or good flexibility (e.g., polymers). Here, we achieve a golden mean of these properties and obtain a lightweight, viscoelastic, high-K dielectric material by combining two nonpolar, brittle constituents, namely, sulfur (S) and selenium (Se). This S-Se alloy retains polymer-like mechanical flexibility along with a dielectric strength (40 kV/mm) and a high dielectric constant (K = 74 at 1 MHz) similar to those of established metal oxides. Our theoretical model suggests that the principal reason is the strong dipole moment generated due to the unique structural orientation between S and Se atoms. The S-Se alloys can bridge the chasm between mechanically soft and high-K dielectric materials toward several flexible device applications.
APA, Harvard, Vancouver, ISO, and other styles
34

Huang, Maggie Y. M., Jeffrey C. K. Lam, Hao Tan, Tsu Hau Ng, Mohammed Khalid Bin Dawood, and Zhi Hong Mai. "UV-Raman Microscopy on the Analysis of Ultra-Low-K Dielectric Materials on Patterned Wafers." Advanced Materials Research 740 (August 2013): 680–89. http://dx.doi.org/10.4028/www.scientific.net/amr.740.680.

Full text
Abstract:
With the shrinkage of the IC device dimension, Cu and ultra-low-k dielectric were introduced into IC devices to reduce the RC delay. Ultra-low-k dielectrics generally suffer more damage than silicon oxide dielectric during process integration and subsequently cause reliability degradation. Therefore, ultra-low-k damage characterization on Cu damascene structures is of great importance to understand the damage mechanisms. This paper describes the application of UV-Raman microscopy with enhanced spatial resolution and signal sensitivity for characterizing ultra-low-k dielectric in the three-dimension structure of Cu metallization with nanometer feature size. It shows UV-Raman technique has an advantage in analyzing ultra-low-k layer on patterned wafer and extracting ultra-low-k signals from Cu/ultra-low-k mixed structure. UV-Raman is also effective to characterize the ultra-low-k degradation for ultra-low-k related reliability analysis by time dependent dielectric breakdown (TDDB) test.
APA, Harvard, Vancouver, ISO, and other styles
35

You, Yong, Chenhao Zhan, Ling Tu, Yajie Wang, Weibin Hu, Renbo Wei, and Xiaobo Liu. "Polyarylene Ether Nitrile-Based High-k Composites for Dielectric Applications." International Journal of Polymer Science 2018 (July 10, 2018): 1–15. http://dx.doi.org/10.1155/2018/5161908.

Full text
Abstract:
Flexible polymer-based composites exhibiting high dielectric constant as well as low dielectric loss have been intensively investigated for their potential utilization in electronics and electricity industry and energy storage. Resulting from the polar -CN on the side chain, polyarylene ether nitrile (PEN) shows relatively high dielectric constant which has been extensively investigated as one of the hot spots as dielectric materials. However, the dielectric constant of PEN is still much lower than the ceramic dielectrics such as BaTiO3, TiO2, and Al2O3. In this review, recent and in-progress advancements in the designing and preparing strategies to obtain high-k PEN-based nanocomposites are summarized. According to the types of the added fillers, the effects of organic fillers, dielectric ceramic fillers, and conductive fillers on electric properties of PEN-based composites are investigated. In addition, other factors including the structures and sizes of the additive, the compatibility between the additive agent and the PEN, and the interface which affects the dielectric properties of the obtained composite materials are investigated. Finally, challenges facing in the design of more effective strategies for the high-k PEN-based dielectric materials are discussed.
APA, Harvard, Vancouver, ISO, and other styles
36

Ohsato, Hitoshi, Tsutomu Tsunooka, Akinori Kan, Yoshihiro Ohishi, Yasuharu Miyauchi, Yusuke Tohdo, Takashi Okawa, Kenichi Kakimoto, and Hirotaka Ogawa. "Microwave-Millimeterwave Dielectric Materials." Key Engineering Materials 269 (August 2004): 195–98. http://dx.doi.org/10.4028/www.scientific.net/kem.269.195.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Kohno, Masahiko. "Low Dielectric Constant Materials." Journal of Photopolymer Science and Technology 12, no. 2 (1999): 189–92. http://dx.doi.org/10.2494/photopolymer.12.189.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Shamiryan, D., T. Abell, F. Iacopi, and K. Maex. "Low-k dielectric materials." Materials Today 7, no. 1 (January 2004): 34–39. http://dx.doi.org/10.1016/s1369-7021(04)00053-7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Volksen, Willi, Robert D. Miller, and Geraud Dubois. "Low Dielectric Constant Materials." Chemical Reviews 110, no. 1 (January 13, 2010): 56–110. http://dx.doi.org/10.1021/cr9002819.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Van Elshocht, Sven, An Hardy, S. De Gendt, Christophe Adelmann, Peter K. Baumann, David P. Brunco, Matty R. Caymax, et al. "Alternative Gate Dielectric Materials." ECS Transactions 3, no. 3 (December 21, 2019): 479–97. http://dx.doi.org/10.1149/1.2355736.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Treichel, H. "Low dielectric constant materials." Journal of Electronic Materials 30, no. 4 (April 2001): 290–98. http://dx.doi.org/10.1007/s11664-001-0033-z.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

An, Young Joon, Hirotake Okino, Takashi Yamamoto, Shunkichi Ueda, and Takeshi Deguchi. "Microwave Dielectric Properties of Lossy Dielectric Composite Materials." Japanese Journal of Applied Physics 45, no. 9B (September 22, 2006): 7489–93. http://dx.doi.org/10.1143/jjap.45.7489.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Huang, Shujin, Lu Qi, Wenbin Huang, Longlong Shu, Shenjie Zhou, and Xiaoning Jiang. "Flexoelectricity in dielectrics: Materials, structures and characterizations." Journal of Advanced Dielectrics 08, no. 02 (April 2018): 1830002. http://dx.doi.org/10.1142/s2010135x18300025.

Full text
Abstract:
Flexoelectricity in dielectrics suggests promising smart structures for sensors, actuators and transducers. In this review, dielectric materials, structures and the associated flexoelectric characterization methods are presented. First of all, we review structures and methods to measure different flexoelectric coefficients, including [Formula: see text], etc., via direct or converse flexoelectric effect. The flexoelectric materials in the form of bulk, thin films and 2D materials and the reported flexoelectric properties of these dielectrics will then be discussed. Semiconductor materials and the associated flexoelectric studies will also be reviewed. The progress of flexoelectric device study will next be presented, followed by the flexoelectricity research challenges and future trend.
APA, Harvard, Vancouver, ISO, and other styles
44

Wang, C. L. "Jonscher indices for dielectric materials." Journal of Advanced Dielectrics 09, no. 06 (December 2019): 1950046. http://dx.doi.org/10.1142/s2010135x19500462.

Full text
Abstract:
Two parameters are proposed as Jonscher indices, named after A. K. Jonscher for his pioneering contribution to the universal dielectric relaxation law. Time domain universal dielectric relaxation law is then obtained from the asymptotic behavior of dielectric response function and relaxation function by replacing parameters in Mittag–Leffler functions with Jonscher indices. Relaxation types can be easily determined from experimental data of discharge current in barium stannate titanate after their Jonscher indices are determined.
APA, Harvard, Vancouver, ISO, and other styles
45

Ren, He, and Wei-Feng Sun. "Characterizing Dielectric Permittivity of Nanoscale Dielectric Films by Electrostatic Micro-Probe Technology: Finite Element Simulations." Sensors 19, no. 24 (December 7, 2019): 5405. http://dx.doi.org/10.3390/s19245405.

Full text
Abstract:
Finite element simulations for detecting the dielectric permittivity of planar nanoscale dielectrics by electrostatic probe are performed to explore the microprobe technology of characterizing nanomaterials. The electrostatic force produced by the polarization of nanoscale dielectrics is analyzed by a capacitance gradient between the probe and nano-sample in an electrostatic detection system, in which sample thickness is varied in the range of 1 nm–10 μm, the width (diameter) encompasses from 100 nm to 10 μm, the tilt angle of probe alters between 0° and 20°, and the relative dielectric constant covers 2–1000 to represent a majority of dielectric materials. For dielectric thin films with infinite lateral dimension, the critical diameter is determined, not only by the geometric shape and tilt angle of detecting probe, but also by the thickness of the tested nanofilm. Meanwhile, for the thickness greater than 100 nm, the critical diameter is almost independent on the probe geometry while being primarily dominated by the thickness and dielectric permittivity of nanomaterials, which approximately complies a variation as exponential functions. For nanofilms with a plane size which can be regarded as infinite, a pertaining analytical formalism is established and verified for the film thickness in an ultrathin limit of 10–100 nm, with the probe axis being perpendicular and tilt to film plane, respectively. The present research suggests a general testing scheme for characterizing flat, nanoscale, dielectric materials on metal substrates by means of electrostatic microscopy, which can realize an accurate quantitative analysis of dielectric permittivity.
APA, Harvard, Vancouver, ISO, and other styles
46

Pascariu, Petronela, Mihaela Homocianu, Loredana Vacareanu, and Mihai Asandulesa. "Multi-Functional Materials Based on Cu-Doped TiO2 Ceramic Fibers with Enhanced Pseudocapacitive Performances and Their Dielectric Characteristics." Polymers 14, no. 21 (November 4, 2022): 4739. http://dx.doi.org/10.3390/polym14214739.

Full text
Abstract:
In this work, pure TiO2 and Cu (0.5, 1, 2%)-doped TiO2 composites prepared by electrospinning technique followed by calcination at 900 °C, and having high pseudocapacitive and dielectric characteristics were reported. These nanocomposites were characterized by scanning electron microscopy, X-ray diffraction, and dynamic water sorption vapor measurements. The structural characterization of these nanostructures highlighted good crystallinity including only the rutile phase. The electrochemical characteristics were investigated by cyclic voltammetry and galvanostatic charge–discharge measurements, which were performed in a KOH electrolyte solution. Among the Cu-doped TiO2 nanostructures that were prepared, the one containing 0.5% Cu exhibited superior electrochemical properties, including high specific gravimetric capacitance of 1183 F·g−1, specific capacitance of 664 F·g−1, energy density of 45.20 Wh·kg−1, high power density of 723.14 W·kg−1, and capacitance retention of about 94% after 100 cycles. The dielectric investigation shows good dielectric properties for all materials, where the dielectric constant and the dielectric loss decreased with the frequency increase. Thus, all the interconnected studies proved that these new materials show manifold ability and real applicative potential as pseudocapacitors and high-performance dielectrics. Future work and perspectives are anticipated for characterizing electrochemical and dielectric properties for materials including larger amounts of Cu dopant.
APA, Harvard, Vancouver, ISO, and other styles
47

Hacker, Nigel P. "Organic and Inorganic Spin-On Polymers for Low-Dielectric-Constant Applications." MRS Bulletin 22, no. 10 (October 1997): 33–38. http://dx.doi.org/10.1557/s0883769400034175.

Full text
Abstract:
Low-dielectric-constant materials (k < 3.0) have the advantage of facilitating manufacture of higher performance integrated-circuit (IC) devices with minimal increases in chip size. The reduced capacitance given by these materials permits shrinkage of spacing between metal lines to below 0.25 μm and the ability to decrease the number of levels of metal in a device. The technologies being considered for low-k applications are chemical vapor deposition (CVD) or spin-on of polymeric materials. For both types of processes, there are methods and materials capable of giving k < 3.0 dielectric stacks. This article will focus on the spin-on approach and discuss the properties of both organic and inorganic spin-on polymers.While CVD SiO2 has been the mainstay of the industry, spin-on materials are appropriate for many dielectric applications. Polyimides have applications as electrical insulators, and traditional spin-on silicates or siloxanes (k > 3.0) have served as planarizing dielectrics during the last 15 years. The newer spin-on polymers have greatly enhanced mechanical, thermal, and chemical properties, exhibiting lower dielectric constants than the traditional materials.
APA, Harvard, Vancouver, ISO, and other styles
48

Africa, Aaron Don Munsayac, Gregory James Pe, and Robert Ianny Roy Quijano. "Frequency response analysis of dielectric materials in a parallel-plate transmission line." Indonesian Journal of Electrical Engineering and Computer Science 22, no. 2 (May 1, 2021): 893. http://dx.doi.org/10.11591/ijeecs.v22.i2.pp893-901.

Full text
Abstract:
A transmission line allows different frequencies to conduct alternating current (AC). They are a peculiar type of wire that allows signal transmission while making it resistant to external noises. A parallel-plate transmission line is a type of transmission line designed with two parallel plates with a dielectric sheet material in the center, as the name implies. The parallel-plate transmission lines are usually used for a miniature setup in which the line prevents the signal from losing power. However, the line's frequency response is a varying setup in which a change in a parameter can fully change the frequency response of the line, and in turn trigger inefficiency. With this, different factors such as the conductor, the size, and the dielectric material of the parallel-plate transmission line can affect its frequency response. Specifically, the analysis of the transmission would test the various frequency responses when the dielectric sheet content is varied. The researchers will carry out experiments on air, Teflon, plexiglass, and E type glass dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
49

Tukhvatullin, Midhat, Yuri Arkhangelsky, Rustam Aipov, and Eduard Khasanov. "Materials and Economic Aspects of Designing Microwave Electrical Installations." Mathematical Modelling of Engineering Problems 9, no. 2 (April 28, 2022): 336–42. http://dx.doi.org/10.18280/mmep.090206.

Full text
Abstract:
Ultrahigh-frequency electro-technical installations are capable of performing thermal microwave modification of dielectric and non-thermal microwave modification of polymer materials and products, as a result of which new properties and parameters appear in the object processed in the working chamber of such an installation. When designing microwave electrical installations, it is necessary to consider the relationship between the dielectric parameters of the processed object and the parameters of the microwave electromagnetic field of the working chamber of the installation. The paper considers the influence of the parameters of the processed dielectrics on the synthesis of working chambers of microwave electrical installations and mathematical modelling of heat treatment in a microwave electromagnetic field, the structure and parameters of the installation on its economic efficiency. Consideration of the materials science and economic aspects of microwave electrical technology allows to accelerate and reduce the cost of design in microwave electrical technology.
APA, Harvard, Vancouver, ISO, and other styles
50

Xia, Rong. "Characteristic Analysis and Measurement of Dielectric Loss in Non-Linear Insulating Materials." Advanced Materials Research 986-987 (July 2014): 1471–76. http://dx.doi.org/10.4028/www.scientific.net/amr.986-987.1471.

Full text
Abstract:
Non-linear insulating material is widely used in the insulations of XLPE cable terminations and stator windings insulation of electric machines, and has obvious capability to improve the distribution of electric field. Actually, the dielectric loss factor of non-linear insulating materials is not equal to the tanδ describing linear insulating materials, and depends on applied voltage and their structures. This paper firstly discusses the difference between ac loss characteristic of non-linear dielectrics and linear dielectrics and presents a kind model of non-linear composite material. Based on the model, specific characterization and measurement methods and their numeric simulation analysis are given. Finally, a measuring system for non-linear insulating materials based on digital measuring technology is presented.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography