To see the other types of publications on this topic, follow the link: GRAVURE DU PLASMA ICP-RIE.

Journal articles on the topic 'GRAVURE DU PLASMA ICP-RIE'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'GRAVURE DU PLASMA ICP-RIE.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Zhong, Zhi Qin, Cheng Tao Yang, Guo Jun Zhang, Shu Ya Wang, and Li Ping Dai. "Inductively Coupled Plasma Etching of Pt/Ti Electrodes in Cl-Based Plasma." Advanced Materials Research 721 (July 2013): 346–49. http://dx.doi.org/10.4028/www.scientific.net/amr.721.346.

Full text
Abstract:
Dry etching of Pt/Ti film was carried out using Cl2/Ar plasmas in an inductively coupled plasma (ICP) reactor. The influence of the various process parameters, such as RIE power, ICP power and Cl2/Ar gas mixing ratio, on the etch rate and selectivity of photoresist to Pt/Ti film were investigated systematically and optimized. It was revealed that the etch rate and the selectivity strongly depended on the key process parameters. The etch rate was found to increase dramatically with increasing of RIE power and ICP power. But by changing the ratio of Cl2 to the total gas, the maximum etch rate could be obtained at the proper ratio of 20%. The results also indicated too low or too high RIE power and the Cl2 ratio was detrimental to the selectivity. The optimized parameters of Pt/Ti dry etching for high etch rate and low selectivity of photoresist to Pt/Ti were obtained to be pressure: 10mT, RF power: 250W, ICP power: 0W, Cl2: 8sccm (standard cubic centimeters per minute), Ar: 32sccm.
APA, Harvard, Vancouver, ISO, and other styles
2

TAN, KWONG-LUCK, CIPRIAN ILIESCU, FRANCIS TAY, HUI-TONG CHUA, and JIANMIN MIAO. "NANOTIPS COLD-END CONTACT FOR MICROCOOLING SYSTEMS." International Journal of Nanoscience 04, no. 04 (August 2005): 701–7. http://dx.doi.org/10.1142/s0219581x05003723.

Full text
Abstract:
The paper presents the fabrication of nanotips cold-end contact for microcooling system. The fabrication process is based on an optimized isotropic plasma etching in SF 6/ O 2 using an ICP-deep RIE system from STS. We managed to fabricate the radius of the nanotips which are below 50 nm.
APA, Harvard, Vancouver, ISO, and other styles
3

Golobokova, Lyudmila S., Yuri V. Nastaushev, Alexander B. Talochkin, T. A. Gavrilova, Fedor N. Dultsev, and Alexander V. Latyshev. "Resonant Reflectance in Silicon Nanorods Arrays." Solid State Phenomena 245 (October 2015): 8–13. http://dx.doi.org/10.4028/www.scientific.net/ssp.245.8.

Full text
Abstract:
The optical properties of ordered arrays of silicon nanorods (Si NRs) were investigated. Electron Beam Lithography followed by Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE) was used for Si NRs fabrication. Si NRs were chemically and electrically passivated through the deposition of TiONx nanolayer. Tunable color generation from vertical silicon nanorods is demonstrated too.
APA, Harvard, Vancouver, ISO, and other styles
4

Alvarez, Hugo S., Frederico H. Cioldin, Audrey R. Silva, Luana C. J. Espinola, Alfredo R. Vaz, and Jose A. Diniz. "Silicon Micro-Channel Definition via ICP-RIE Plasma Etching Process Using Different Aluminum Hardmasks." Journal of Microelectromechanical Systems 30, no. 4 (August 2021): 668–74. http://dx.doi.org/10.1109/jmems.2021.3088640.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

SHI, J., E. F. CHOR, and W. K. CHOI. "ICP ETCHING OF RF SPUTTERED AND PECVD SILICON CARBIDE FILMS." International Journal of Modern Physics B 16, no. 06n07 (March 20, 2002): 1067–71. http://dx.doi.org/10.1142/s0217979202010877.

Full text
Abstract:
In this paper, we report the Inductive Coupled Plasma (ICP) etching of RF sputtered unhydrogenated amorphous silicon carbide film (a-Si0.5C0.5) , and plasma enhanced chemical vapour deposited (PECVD) hydrogenated amorphous silicon carbide films (a-Si0.3C0.7:H and a-Si0.7C0.3:H) , asprepared and annealed, using CF4/O2 chemistry. The etch rate of amorphous SiC is observed to be closely related to the hydrogen content. The mechanism of etching is studied by varying the RIE power, ICP power and pressure. It has been suggested that the removal of surface polymers (CFx) is a key factor in the etching process. In order to study the difference in etch rate between sputtered and PECVD SiC and the effects of changing Si/C ratio, IR spectra are used to reveal the bonds density in the samples.
APA, Harvard, Vancouver, ISO, and other styles
6

Gu, Qiong Chan, Xiao Xiao Jiang, Jiang Tao Lv, and Guang Yuan Si. "Interference Lithography Patterned Nanogratings in LiNbO3 Fabricated by Dry Etching." Advanced Materials Research 1049-1050 (October 2014): 7–10. http://dx.doi.org/10.4028/www.scientific.net/amr.1049-1050.7.

Full text
Abstract:
Channel waveguides have been fabricated in x-cut lithium niobate (LiNbO3) by proton exchange (PE) method and optically measured. The thickness and the optical constants of the thin PE layer were characterized using a prism coupling technique. The PE area was plasma etched and a 2.775-μm total etching depth was achieved. The measured average etching rate is 92.5 nm/min. One-and two-dimensional dense arrays of LiNbO3 nanostructures have also been fabricated by using interference lithography (IL) and inductively coupled plasma reactive ion etching (ICP-RIE) techniques.Intorduction
APA, Harvard, Vancouver, ISO, and other styles
7

SI, G. Y., A. J. DANNER, J. H. TENG, S. S. ANG, A. B. CHEW, and E. DOGHECHE. "NANOSCALE ARRAYS IN LITHIUM NIOBATE FABRICATED BY INTERFERENCE LITHOGRAPHY AND DRY ETCHING." International Journal of Nanoscience 09, no. 04 (August 2010): 311–15. http://dx.doi.org/10.1142/s0219581x10006867.

Full text
Abstract:
Channel waveguides have been fabricated in x-cut lithium niobate (LiNbO3) by proton exchange (PE) method and optically measured. The thickness and the optical constants of the thin PE layer were characterized using a prism coupling technique. The PE area was plasma etched and a 2.775-μm total etching depth was achieved. The measured average etching rate is 92.5 nm/min. One- and two-dimensional dense arrays of LiNbO3 nanostructures have also been fabricated by using interference lithography (IL) and inductively coupled plasma reactive ion etching (ICP-RIE) techniques.
APA, Harvard, Vancouver, ISO, and other styles
8

Huff, Michael. "Recent Advances in Reactive Ion Etching and Applications of High-Aspect-Ratio Microfabrication." Micromachines 12, no. 8 (August 20, 2021): 991. http://dx.doi.org/10.3390/mi12080991.

Full text
Abstract:
This paper reviews the recent advances in reaction-ion etching (RIE) for application in high-aspect-ratio microfabrication. High-aspect-ratio etching of materials used in micro- and nanofabrication has become a very important enabling technology particularly for bulk micromachining applications, but increasingly also for mainstream integrated circuit technology such as three-dimensional multi-functional systems integration. The characteristics of traditional RIE allow for high levels of anisotropy compared to competing technologies, which is important in microsystems device fabrication for a number of reasons, primarily because it allows the resultant device dimensions to be more accurately and precisely controlled. This directly leads to a reduction in development costs as well as improved production yields. Nevertheless, traditional RIE was limited to moderate etch depths (e.g., a few microns). More recent developments in newer RIE methods and equipment have enabled considerably deeper etches and higher aspect ratios compared to traditional RIE methods and have revolutionized bulk micromachining technologies. The most widely known of these technologies is called the inductively-coupled plasma (ICP) deep reactive ion etching (DRIE) and this has become a mainstay for development and production of silicon-based micro- and nano-machined devices. This paper will review deep high-aspect-ratio reactive ion etching technologies for silicon, fused silica (quartz), glass, silicon carbide, compound semiconductors and piezoelectric materials.
APA, Harvard, Vancouver, ISO, and other styles
9

Jung, Mi, Seok Lee, Young Tae Byun, Young Min Jhon, Sun Ho Kim, Sun Il Mho, and Deok Ha Woo. "Fabrication of Size Controlled Nanohole Array on III-V Semiconductor Substrate by ICP-RIE Using Nanoporous Alumina Mask." Solid State Phenomena 124-126 (June 2007): 1301–4. http://dx.doi.org/10.4028/www.scientific.net/ssp.124-126.1301.

Full text
Abstract:
Formation of size controlled nanohole arrays on semiconductor substrate can be used variously in applications of photonic and electronic device. The unique structure of nanoporous alumina was directly used as an etching mask for pattern transfer into the GaAs substrate. Using the alumina masks prepared at the anodic voltage of 24 V in 0.3 M sulfuric acid solution and 40 V in 0.3 M oxalic acid solution, fabricated were the arrays of nanohole on GaAs substrate by inductively coupled plasma reactive ion etching (ICP-RIE). The etching was conducted in a SiCl4/Ar mixed gas system. The uniform nanohole arrays were formed as replica of ordered lattice pattern of the mask. Depending on property of the alumina mask used, the size of nanohole was controlled to have hole diameter of 60 nm (with the hole density of 1.0 x 1010 cm-2) and of 30 nm (with the hole density of 2.7 x 1010 cm-2), respectively. So, the alumina mask attached on the GaAs substrate mainly determines the distribution and the size of the nanohole arrays. ICP-RIE using nanoporous alumina masks can control the size and the density of nanohole on compound semiconductor substrate.
APA, Harvard, Vancouver, ISO, and other styles
10

Szmigiel, Dariusz, Krzysztof Domański, and Piotr Grabiec. "Polysiloxane Coatings on Biomedical Micro Devices: Plasma Etching and Properties of Protection Layer." Advances in Science and Technology 57 (September 2008): 220–25. http://dx.doi.org/10.4028/www.scientific.net/ast.57.220.

Full text
Abstract:
We investigated the plasma etching of polysiloxane intended for use in cochlear implants as a protection layer. The processing was performed using fluorine-based chemistry ionized in RIE (Reactive Ion Etching) or ICP (Inductively Coupled Plasma) discharge. The effect of temperature on polysiloxane etch rate and the resulting surface morphology was examined. XPS was employed to determine chemical changes induced by plasma treatment. The cytotoxicity on a cell line was observed in order to estimate suitability of plasma processed silicone elastomer for use in biomedical applications. This paper presents the selected results, which reveals how the polysiloxane surface properties can change depending upon plasma treatment conditions. Exemplary micro devices encapsulated in plasma treated silicone elastomer are also shown.
APA, Harvard, Vancouver, ISO, and other styles
11

Hotový, Ivan, Ivan Kostič, Štefan HAščík, Vlastimil ŘEháček, Jozef Liday, and Helmut Sitter. "Development and Fabrication of TiO2 Tip Arrays for Gas Sensing." Journal of Electrical Engineering 62, no. 6 (November 1, 2011): 363–66. http://dx.doi.org/10.2478/v10187-011-0058-3.

Full text
Abstract:
Development and Fabrication of TiO2Tip Arrays for Gas SensingTitanium oxide thin films were deposited at room temperature by reactive magnetron sputtering in a mixture of oxygen and argon on oxidized silicon substrates. The optimal etching characteristics of TiO2films by reactive ion etching (RIE) and RIE with inductively coupled plasma source (ICP) were investigated. Patterning of TiO2tip arrays by electron beam lithography and dry etching were developed. Different spot sizes 200 and 500 nm in diameter and with spacing 500 and 1000 nm were investigated with regards to the minimal size and the pyramidal shape. Experimental results have shown that the exposure dose optimization wa a significant parameter for controlling the tip size and its shape. We successfully fabricated the pyramidal TiO2tip arrays over an 1 × 1 mm2area. The TiO2tip array can be expected to have an important application in gas microsensors.
APA, Harvard, Vancouver, ISO, and other styles
12

Hussain, Shahzada Qamar, ShiHyun Ahn, Hyeongsik Park, Giduk Kwon, Jayapal Raja, Youngseok Lee, Nagarajan Balaji, HeeSeok Kim, Anh Huy Tuan Le, and Junsin Yi. "Light trapping scheme of ICP-RIE glass texturing by SF6/Ar plasma for high haze ratio." Vacuum 94 (August 2013): 87–91. http://dx.doi.org/10.1016/j.vacuum.2013.01.026.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Zheng, Yuting, Haitao Ye, Jinlong Liu, Junjun Wei, Liangxian Chen, and Chengming Li. "Surface morphology evolution of a polycrystalline diamond by inductively coupled plasma reactive ion etching (ICP-RIE)." Materials Letters 253 (October 2019): 276–80. http://dx.doi.org/10.1016/j.matlet.2019.06.079.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Radtke, Mariusz, Abdallah Slablab, Sandra Van Vlierberghe, Chao-Nan Lin, Ying-Jie Lu, and Chong-Xin Shan. "Plasma Treatments and Light Extraction from Fluorinated CVD-Grown (400) Single Crystal Diamond Nanopillars." C — Journal of Carbon Research 6, no. 2 (June 3, 2020): 37. http://dx.doi.org/10.3390/c6020037.

Full text
Abstract:
We investigate the possibilities to realize light extraction from single crystal diamond (SCD) nanopillars. This was achieved by dedicated 519 nm laser-induced spin-state initiation of negatively charged nitrogen vacancies (NV−). We focus on the naturally-generated by chemical vapor deposition (CVD) growth of NV−. Applied diamond was neither implanted with 14N+, nor was the CVD synthesized SCD annealed. To investigate the possibility of light extraction by the utilization of NV−’s bright photoluminescence at room temperature and ambient conditions with the waveguiding effect, we have performed a top-down nanofabrication of SCD by electron beam lithography (EBL) and dry inductively-coupled plasma/reactive ion etching (ICP-RIE) to generate light focusing nanopillars. In addition, we have fluorinated the diamond’s surface by dedicated 0 V SF6 ICP plasma. Light extraction and spin manipulations were performed with photoluminescence (PL) spectroscopy and optically detected magnetic resonance (ODMR) at room temperature. We have observed a remarkable effect based on the selective 0 V SF6 plasma etching and surprisingly, in contrast to literature findings, deactivation of NV− centers. We discuss the possible deactivation mechanism in detail.
APA, Harvard, Vancouver, ISO, and other styles
15

Wei, Che Hung, and Yu Hung Chen. "The Effect of Bias Power on the Etching Rate and Uniformity of Silicon Dioxide for N-Slot Inductively Coupled Plasma in TFT Application." Solid State Phenomena 124-126 (June 2007): 291–94. http://dx.doi.org/10.4028/www.scientific.net/ssp.124-126.291.

Full text
Abstract:
The etching in SiO2 is a crucial step in fabricating thin film transistor. For large area etching, high density plasma which independently controls ion energy and ion flux is preferable than conventional RIE etcher for its high etching capability. In an attempt to understand how the bias power of N-slot ICP affects the etching rate and uniformity, we study the correlation among bias power, inductive power, etching rate and uniformity. The results show that the etching rate is proportional to the bias power up to 800 W which has the best uniformity. Beyond that power, the etching rate enters the different slope and the uniformity become worse. This phenomenon might be attributed to the combined effects of resist etching and polymer film growth. For N-slot ICP system, high etching rate and good uniformity can be obtained only when the bias power is in the moderate range.
APA, Harvard, Vancouver, ISO, and other styles
16

Zhang, A. Z., S. A. Reshanov, Adolf Schöner, Wlodek Kaplan, Norbert Kwietniewski, Jang Kwon Lim, and Mietek Bakowski. "Planarization of Epitaxial SiC Trench Structures by Plasma Ion Etching." Materials Science Forum 821-823 (June 2015): 549–52. http://dx.doi.org/10.4028/www.scientific.net/msf.821-823.549.

Full text
Abstract:
In this work, we present a planarization concept for epitaxial SiC trench structures involving reactive ion etching (RIE) and inductive coupled plasma (ICP) dry etching. The general idea is to transfer the flat surface from spun-on BCB/photo-resist layers to deposited silicon dioxide and finally to bulk SiC by applying process conditions with the same etch rate for the different materials. In this way several microns of unwanted material can be removed and planar SiC surfaces are obtained. With this method trench structures filled by epitaxial re-growth can be planarized with smooth surfaces and good homogeneity over the wafer. Cost-efficient device manufacturing can be achieved by using standard semiconductor process equipment. This technology makes it possible to manufacture advanced epitaxial SiC material structures for devices such as trench JBS diodes and double-gate trench JFETs.
APA, Harvard, Vancouver, ISO, and other styles
17

Park, S. Y., S. Y. Chung, P. R. Berger, R. Yu, and P. E. Thompson. "Low sidewall damage plasma etching using ICP-RIE with HBr chemistry of Si∕SiGe resonant interband tunnel diodes." Electronics Letters 42, no. 12 (2006): 719. http://dx.doi.org/10.1049/el:20060323.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Hotovy, Ivan, Ivan Kostic, Martin Predanocy, Pavol Nemec, and Vlastimil Rehacek. "Effects of HSQ e–beam Resist Processing on the Fabrication of ICP–RIE Etched TiO2 Nanostructures." Journal of Electrical Engineering 67, no. 6 (December 1, 2016): 454–58. http://dx.doi.org/10.1515/jee-2016-0067.

Full text
Abstract:
Abstract Patterning of metal oxide nanostructures with different shapes and well-defined size may play an important role in the improvement of MEMS systems, sensors and optical devices. We investigated the effects of HSQ e-beam resist processing on the fabrication of sputtered TiO2 nanostructures. They were patterned using direct write e-beam lithography combined with ICP-RIE etching in CF4/Ar plasma. Experimental results confirmed that the HSQ resist with a thickness of about 600 nm is suitable as a masking material for optimal etching process and allows patterning of the dots array in TiO2 sputtered films with a thickness up 150 nm. TiO2 arrays with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.
APA, Harvard, Vancouver, ISO, and other styles
19

Choi, W. S., J. H. Jang, B. A. Yu, Y. L. Lee, W. Zhao, J. W. Bae, and I. Adesida. "Low Loss High Mesa Optical Waveguides Based on InGaAsP/InP Heterostructures." Journal of Nanoscience and Nanotechnology 6, no. 11 (November 1, 2006): 3562–66. http://dx.doi.org/10.1166/jnn.2006.17983.

Full text
Abstract:
Low loss high mesa optical waveguides were fabricated on InGaAsP/InP heterostructures by utilizing inductively-coupled-plasma reactive ion etching (ICP-RIE) and electron beam lithography technique. The fabrication process was optimized by measuring sidewall roughness of deep-etched waveguides. Atomic force microscope loaded with carbon nanotude was used to obtain three-dimensional image of the etched sidewall of waveguides. The obtained statistical information such as rms roughness and correlation length was used to theoretically calculate scattering loss of waveguides. Several waveguides with different number of sharp bends and the length were fabricated and their propagation losses were measured by modified Fabry-Perot method. The measured propagation losses were compared with theoretically calculated losses.
APA, Harvard, Vancouver, ISO, and other styles
20

Dogheche, Karim, Bandar Alshehri, Galles Patriache, and Elhadj Dogheche. "Development of Micron Sized Photonic Devices Based on Deep GaN Etching." Photonics 8, no. 3 (March 2, 2021): 68. http://dx.doi.org/10.3390/photonics8030068.

Full text
Abstract:
In order to design and development efficient III-nitride based optoelectronic devices, technological processes require a major effort. We propose here a detailed review focussing on the etching procedure as a key step for enabling high date rate performances. In our reported research activity, dry etching of an InGaN/GaN heterogeneous structure was investigated by using an inductively coupled plasma reactive ion etching (ICP-RIE). We considered different combinations of etch mask (Ni, SiO2, resist), focussing on the optimization of the deep etching process. A GaN mesa process with an etching depth up to 6 µm was performed in Cl2/Ar-based plasmas using ICP reactors for LEDs dimen sions ranging from 5 to 150 µm². Our strategy was directed toward the mesa formation for vertical-type diode applications, where etch depths are relatively large. Etch characteristics were studied as a function of ICP parameters (RF power, chamber pressure, fixed total flow rate). Surface morphology, etch rates and sidewall profiles observed into InGaN/GaN structures were compared under different types of etching masks. For deep etching up to few microns into the GaN template, we state that a Ni or SiO2 mask is more suitable to obtain a good selectivity and vertical etch profiles. The optimized etch rate was about 200nm/min under moderate ICP conditions. We applied these conditions for the fabrication of micro/nano LEDs dedicated to LiFi applications.
APA, Harvard, Vancouver, ISO, and other styles
21

Medina, Rüter, Pujol, Kip, Masons, Ródenas, Aguiló, and Díaz. "KLu(WO4)2/SiO2 Tapered Waveguide Platform for Sensing Applications." Micromachines 10, no. 7 (July 5, 2019): 454. http://dx.doi.org/10.3390/mi10070454.

Full text
Abstract:
This paper provides a generic way to fabricate a high-index contrast tapered waveguide platform based on dielectric crystal bonded on glass for sensing applications. As a specific example, KLu(WO4)2 crystal on a glass platform is made by means of a three-technique combination. The methodology used is on-chip bonding, taper cutting with an ultra-precise dicing saw machine and inductively coupled plasma-reactive ion etching (ICP-RIE) as a post-processing step. The high quality tapered waveguides obtained show low surface roughness (25 nm at the top of the taper region), exhibiting propagation losses estimated to be about 3 dB/cm at 3.5 m wavelength. A proof-of-concept with crystal-on-glass tapered waveguides was realized and used for chemical sensing.
APA, Harvard, Vancouver, ISO, and other styles
22

Shamsuddin, Liyana, Khairudin Mohamed, and Alsadat Rad Maryam. "The Investigation of Microstructures Fabrication on Quartz Substrate Employing Electron Beam Lithography (EBL) and ICP-RIE Process." Advanced Materials Research 980 (June 2014): 69–73. http://dx.doi.org/10.4028/www.scientific.net/amr.980.69.

Full text
Abstract:
The fabrication of micro or nano-structures on quartz substrate has attracted researchers' attention and interests in recent years due to a wide range of potential applications such as NEMS/MEMS, sensors and biomedical engineering. Various types of next generation lithographic methods have been explored since optical lithography physical limitations has hindered the fabrication of high aspects ratio (HAR) structure on quartz substrates. In this research, the top-down fabrication approach was employed to fabricate microstructures on quartz substrate using Electron Beam Lithography (EBL) system, followed by the pattern transfer process using Inductively Coupled Plasma-Reactive Ion Etching (ICP-RIE) technique. The factors that influenced pattern definition include the type of electron beam (e-beam) photoresist, e-beam exposure parameter such as spot size, working distance, write field, step size, e-beam current, dosage as well as the type of developer and its developing time. The optimum conditions were investigated in achieving micro or nano-structures. Field emission scanning electron microscopy (FESEM) with energy-dispersive X-ray (EDX) and atomic force microscope (AFM) were utilized to characterize the structures profiles.
APA, Harvard, Vancouver, ISO, and other styles
23

Shah, Amit P., A. Azizur Rahman, and Arnab Bhattacharya. "Temperature-dependence of Cl2/Ar ICP-RIE of polar, semipolar, and nonpolar GaN and AlN following BCl3/Ar breakthrough plasma." Journal of Vacuum Science & Technology A 38, no. 1 (January 2020): 013001. http://dx.doi.org/10.1116/1.5123787.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Hamdana, Gerry, Maik Bertke, Lutz Doering, Thomas Frank, Uwe Brand, Hutomo Suryo Wasisto, and Erwin Peiner. "Transferable micromachined piezoresistive force sensor with integrated double-meander-spring system." Journal of Sensors and Sensor Systems 6, no. 1 (March 2, 2017): 121–33. http://dx.doi.org/10.5194/jsss-6-121-2017.

Full text
Abstract:
Abstract. A developed transferable micro force sensor was evaluated by comparing its response with an industrially manufactured device. In order to pre-identify sensor properties, three-dimensional (3-D) sensor models were simulated with a vertically applied force up to 1000 µN. Then, controllable batch fabrication was performed by alternately utilizing inductively coupled plasma (ICP) reactive ion etching (RIE) and photolithography. The assessments of sensor performance were based on sensor linearity, stiffness and sensitivity. Analysis of the device properties revealed that combination of a modest stiffness value (i.e., (8.19 ± 0.07) N m−1) and high sensitivity (i.e., (15.34 ± 0.14) V N−1) at different probing position can be realized using a meander-spring configuration. Furthermore, lower noise voltage is obtained using a double-layer silicon on insulator (DL-SOI) as basic material to ensure high reliability and an excellent performance of the sensor.
APA, Harvard, Vancouver, ISO, and other styles
25

Pierściński, Kamil, Dorota Pierścińska, Aleksandr Kuźmicz, Grzegorz Sobczak, Maciej Bugajski, Piotr Gutowski, and Krzysztof Chmielewski. "Coupled Cavity Mid-IR Quantum Cascade Lasers Fabricated by Dry Etching." Photonics 7, no. 3 (July 3, 2020): 45. http://dx.doi.org/10.3390/photonics7030045.

Full text
Abstract:
In this work, two-section, coupled cavity, mid-IR quantum cascade lasers (QCLs) were characterized in terms of their tuning range and emission stability under operation towards potential application in detection systems. Devices were processed by inductively coupled plasma reactive ion etching (ICP-RIE) from InP-based heterostructure, designed for emission in the 9.x micrometer range. Single mode devices were demonstrated with a better than 20 dB side mode suppression ratio (SMRS). The fabrication method resulted in improved yield, as well as high repeatability of individual devices. Continuous, mode-hop-free tuning of emission wavelength was observed across ~4.5 cm−1 for the range of temperatures of the heat sink from 15 °C to 70 °C. Using the thermal perturbation in the lasing cavity, in conjunction with controlled hopping between coupled-cavity (CC) modes, we were able to accomplish tuning over the range of up to ~20 cm−1.
APA, Harvard, Vancouver, ISO, and other styles
26

Mikalsen Martinussen, Simen, Raimond N. Frentrop, Meindert Dijkstra, and Sonia Maria Garcia-Blanco. "Redeposition-Free Deep Etching in Small KY(WO4)2 Samples." Micromachines 11, no. 12 (November 24, 2020): 1033. http://dx.doi.org/10.3390/mi11121033.

Full text
Abstract:
KY(WO4)2 is a promising material for on-chip laser sources. Deep etching of small KY(WO4)2 samples in combination with various thin film deposition techniques is desirable for the manufacturing of such devices. There are, however, several difficulties that need to be overcome before deep etching of KY(WO4)2 can be realized in small samples in a reproducible manner. In this paper, we address the problems of (i) edge bead formation when using thick resist on small samples, (ii) sample damage during lithography mask touchdown, (iii) resist reticulation during prolonged argon-based inductively coupled plasma reactive ion etching (ICP-RIE), and (iv) redeposited material on the feature sidewalls. We demonstrate the etching of 6.5 µm deep features and the removal of redeposited material using a wet etch procedure. This process will enable the realization of waveguides both in ion-irradiated KY(WO4)2 as well as thin KY(WO4)2 membranes transferred onto glass substrate by bonding and subsequent polishing.
APA, Harvard, Vancouver, ISO, and other styles
27

Markiewicz, Nicolai, Olga Casals, Muhammad Fahlesa Fatahilah, Klaas Strempel, Alaaeldin Gad, Hutomo Suryo Wasisto, Andreas Waag, and Joan Daniel Prades. "Top-Down Fabrication of Arrays of Vertical GaN Nanorods with Freestanding Top Contacts for Environmental Exposure." Proceedings 2, no. 13 (December 3, 2018): 845. http://dx.doi.org/10.3390/proceedings2130845.

Full text
Abstract:
Arrays of 1D-vertically arranged gallium nitride (GaN) nanorods (NRs) are fabricated on sapphire and connected to both bottom and freestanding top contacts. This shows a fully validated top-down method to obtain ordered arrays of high-surface-to-volume elements that can be electrically interrogated and used, e.g., for sensing applications. Specifically, these will be used as highly integrated heating elements for conductometric gas sensors in self-heating operation. Detailed fabrication and processing steps involving inductively coupled plasma reactive ion etching (ICP-RIE), KOH-etching, interspace filling, and electron-beam physical vapor deposition technologies are discussed, in which they can be well adjusted and combined to obtain vertical GaN NRs as thin as 300 nm in arbitrarily large and regular arrays (e.g., 1 × 1, 3 × 3, 9 × 10 elements). These developed devices are proposed as a novel sensor platform for temperature-activated measurements that can be produced at a large scale offering low-power, and very stable temperature control.
APA, Harvard, Vancouver, ISO, and other styles
28

Chang, Chun Ming, Ming Hua Shiao, Don Yau Chiang, Chin Tien Yang, Mao Jung Huang, Chung Ta Cheng, and Wen Jeng Hsueh. "Submicron Patterns on Sapphire Substrate Produced by Dual Layer Photoresist Complimentary Lithography." Applied Mechanics and Materials 284-287 (January 2013): 334–41. http://dx.doi.org/10.4028/www.scientific.net/amm.284-287.334.

Full text
Abstract:
In this study, the combined technologies of dual-layer photoresist complimentary lithography (DPCL), inductively coupled plasma-reactive ion etching (ICP-RIE) and laser direct-write lithography (LDL) are applied to produce the submicron patterns on sapphire substrates. The inorganic photoresist has almost no resistance for chlorine containing plasma and aqueous acid etching solution. However, the organic photoresist has high resistance for chlorine containing plasma and aqueous acid etching solution. Moreover, the inorganic photoresist is less etched by oxygen plasma etching process. The organic and inorganic photoresists deposit sequentially into a composite photoresist on a substrate. The DPCL takes advantages of the complementary chemical properties of organic and inorganic photoresists. We fabricated two structures with platform and non-platform structure. The non-platform structure featured structural openings, the top and bottom diameters and the depth are approximately 780 nm, 500 nm and 233 nm, respectively. The platform structure featured structural openings, the top and bottom diameters and the depth are approximately 487 nm, 288 nm and 203 nm, respectively. The precision submicron or nanoscale patterns of large etched area and patterns with high aspect ratio can be quickly produced by this technique. This technology features a low cost but high yield production technology. It has the potential applications in fabrication of micro-/nanostructures and devices for the optoelectronic industry, semiconductor industry and energy industry.
APA, Harvard, Vancouver, ISO, and other styles
29

Shah, Amit P., A. Azizur Rahman, and Arnab Bhattacharya. "ICP-RIE etching of polar, semi-polar and non-polar AlN: comparison of Cl2/Ar and Cl2/BCl3/Ar plasma chemistry and surface pretreatment." Semiconductor Science and Technology 30, no. 1 (December 30, 2014): 015021. http://dx.doi.org/10.1088/0268-1242/30/1/015021.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Sauli, Zaliman, Vithyacharan Retnasamy, Aaron Koay Terr Yeow, Goh Siew Chui, K. Anwar, and Nooraihan Abdullah. "Surface Roughness and Wettability Correlation on Etched Platinum Using Reactive Ion Ecthing." Applied Mechanics and Materials 487 (January 2014): 263–66. http://dx.doi.org/10.4028/www.scientific.net/amm.487.263.

Full text
Abstract:
As the world of semiconductor is moving towards smaller and high-end applications, the quality of the bonding adhesion for wire bonding is very critical. Although aluminium has been the metallization of choice in integrated circuits, it can be easily oxidized during high temperature and pressure. On the other hand, Platinum metallization layer has high thermal coefficient resistance and inert to oxygen. This paper reports the correlation between surface roughness and the wettability in the form of contact angle for Platinum deposited wafer etched using Inductively Couple Plasma-Reactive Ion Etching (ICP-RIE). Surface roughness was measured using AFM while contact angle was obtained via droplet test. The results clearly suggested that both surface roughness and wettabily, calculated by its contact angle value has the same trend. Surface roughness is directly proportional to the contact angle. This indicates that surface roughness have great influence on the surface wettability. Therefore, the adhesion for wire bonding process on platinum metallization which can be used in high end applications can be controlled by its surface roughness and wettability.
APA, Harvard, Vancouver, ISO, and other styles
31

Liu, Z. H., Cheng Teng Pan, C. H. Chao, W. C. Wang, and C. Y. Liu. "Fabrication of High-Verticality Grating Nanostructures Using Twice-Deposited Etching Mask Layers." Journal of Nano Research 23 (July 2013): 24–35. http://dx.doi.org/10.4028/www.scientific.net/jnanor.23.24.

Full text
Abstract:
To study the influence of twice-deposited mask layers on the verticality of side wall and the aspect ratios of silicon-based nanostructures, the performance of focused ion beam (FIB) and CF4-based inductive coupled plasma reactive ion etching (ICP-RIE) processes was realized. The first etching mask of chromium (Cr) was deposited by radiofrequency (RF) magnetron sputtering as the conductive and etching barrier layers. Next, the Cr layer was directly patterned by a dual-beam FIB system to form nanograting patterns. Thereafter, a secondary mask layer of oxide (SiO2) with a thickness of 50 nm was deposited by sputtering. In order to study the influence of various deep etching parameters on verticality and aspect ratios, we examined argon flow rates, coil powers, platen powers, and chamber pressures. The SiO2 barrier layer on the side wall could be retained to maintain a high verticality contour and reduce the line-width shrinking ratio to surmount over etching during the use of fluorine-based gas. The residual Cr and SiO2 layers were dissolved and removed using a wet etching process consisting of a Cr-etching solution (CR-7). Measurements show that the verticality and aspect ratios of the grating nanostructures were 92.1° and 5.63, respectively.
APA, Harvard, Vancouver, ISO, and other styles
32

de Oliveira, Débora Cristina, Leandro Augusto Calixto, Isa Martins Fukuda, Alessandro Morais Saviano, Adir José Moreira, Yoshio Kawano, Ronaldo Domingues Mansano, Terezinha de Jesus Andreoli Pinto, and Felipe Rebello Lourenço. "Compatibility of Polyvinyl Chloride (PVC) Medical Devices and Other Polymeric Materials with Reactive Ion Etching (RIE) and Inductively Couple Plasma (ICP) Sterilization Using a Quality by Design (QbD) Approach." Journal of Pharmaceutical Innovation 13, no. 2 (January 25, 2018): 110–20. http://dx.doi.org/10.1007/s12247-018-9309-1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Gaddy, Matthew, Vladimir Kuryatkov, Nicholas Wilson, Andreas Neuber, Richard Ness, and Sergey Nikishin. "GaN-Based PCSS with High Breakdown Fields." Electronics 10, no. 13 (July 3, 2021): 1600. http://dx.doi.org/10.3390/electronics10131600.

Full text
Abstract:
The suitability of GaN PCSSs (photoconductive semiconductor switches) as high voltage switches (>50 kV) was studied using a variety of commercially available semi-insulating GaN wafers as the base material. Analysis revealed that the wafers’ physical properties were noticeably diverse, mainly depending on the producer. High Voltage PCSSs were fabricated in both vertical and lateral geometry with various contacts, ohmic (Ti/Al/Ni/Au or Ni/Au), with and without a conductive n-GaN or p-type layer grown by metal-organic chemical vapor deposition. Inductively coupled plasma (ICP) reactive ion etching (RIE) was used to form a mesa structure to reduce field enhancements allowing for a higher field to be applied before electrical breakdown. The length of the active region was also varied from a 3 mm gap spacing to a 600 µm gap spacing. The shorter gap spacing supports higher electric fields since the number of macro defects within the device’s active region is reduced. Such defects are common in hydride vapor phase epitaxy grown samples and are likely one of the chief causes for electrical breakdown at field levels below the bulk breakdown field of GaN. Finally, the switching behavior of PCSS devices was tested using a pulsed, high voltage testbed and triggered by an Nd:YAG laser. The best GaN PCSS fabricated using a 600 µm gap spacing, and a mesa structure demonstrated a breakdown field strength as high as ~260 kV/cm.
APA, Harvard, Vancouver, ISO, and other styles
34

Adam, Tijjani, and U. Hashim. "Silicon nanowire fabrication." Microelectronics International 31, no. 2 (April 29, 2014): 78–85. http://dx.doi.org/10.1108/mi-10-2013-0055.

Full text
Abstract:
Purpose – The purpose of this study is to present reports on fabrication of silicon (Si) nanowires (NWs). The study consists of microwire formation on silicon-on-insulator (SOI) that was fabricated using a top-down approach which involved conventional photolithography coupled with shallow anisotropic etching. Design/methodology/approach – A 5-inch p-type silicon-on-insulator (SOI) coated with 250nm layer and Photoresist (PR) with thickness of 400nm is coated in order to make pattern transfer via binary mask, after the exposure and development, a resist pattern between 3 μm-5 μm were obtained, Oxygen plasma spreen was used to reduce the size of the PR to 800 μm, after this, the wafer with 800 μm was loaded into SAMCO inductively coupled plasma (ICP)-RIE and got silicoon microwire was obtained. Next, the sample was put into an oxidation furnace for 15, 30, 45 and 60 minutes and the sample was removed and dipped into a buffered oxide etch solution for five minutes to remove all the SiO2 ashes. Findings – The morphological characterization was conducted using scanning electron microscopy and atomic force microscopy. At terminal two, gold electrodes which were designated as source and drain were fabricated on top of individual NWs using conventional lithography electrical and chemical response. Once the trimming process has been completed, the device's current–voltage (I-V) characteristic was measured by using a Keithley 4200 semiconductor parameter analyser. Devices with different width of wires approximately 20, 40, 60 and 80 nm were characterized. The wire current variation as a function of the pH variation in voltage was investigated: pH monitoring for variations of pH values between 5 and 9. Originality/value – This paper provides useful information on novel and yet simple cost-effective fabrication of SiNW; as such, it should be of interest to a broad readership, especially those interested in micro/nanofabrication.
APA, Harvard, Vancouver, ISO, and other styles
35

Ramírez Méndez, Eduardo, Jesús Valdés-Reyna, Antonio Juárez-Maldonado, Nadia Valentina Martínez-Villegas, and Lorenzo Alejandro López-Barbosa. "Biomonitoreo de metales pesados en vegetación arbórea en la ciudad de Saltillo." Revista Mexicana de Ciencias Agrícolas, no. 26 (July 8, 2021): 191–99. http://dx.doi.org/10.29312/remexca.v0i26.2949.

Full text
Abstract:
La contaminación con metales pesados es un problema grave a nivel mundial y en algunas regiones de México. En las ciudades, esto se debe principalmente a residuos o desechos de la industria y al flujo vehicular principalmente. El presente estudio está enfocado en determinar la concentración de metales pesados (Cd, Cr, Pb y V) en hojas de especies arbóreas (Pinus halepensis Mill., Cupressus sempervirens L. y Prosopis spp.). Estas especies fueron seleccionadas con la finalidad de ser utilizadas como bioindicadoras de la calidad ambiental en la ciudad de Saltillo, Coahuila, México. Se establecieron 15 puntos de muestreo, de los cuales 13 puntos fueron distribuidos aleatoriamente en la ciudad de Saltillo y dos puntos fuera de la mancha urbana. El muestreo se realizó en el mes de julio de 2019 (época del verano). El contenido de metales pesados se determinó mediante la técnica de espectrometría de emisión óptica de plasma inductivamente acoplado (ICP-OES). Se probaron las interacciones entre los factores especie-sitio con respecto a las concentraciones de metales pesados, mismas que fueron determinadas a través de los promedios obtenidos entre las muestras y sus duplicados. Destaca que el factor especie fue C. sempervirens resulto la de mayor concentración de metales pesados en Cd, Pb y V, así como P. halepensis en Cr. Los puntos 3, 4, 12 y 15 resultaron con las mayores concentraciones de los metales analizados, dichos puntos se encuentran en las zonas sureste y céntrica de la ciudad de Saltillo. El uso de especies arbóreas como bioindicadoras de metales pesados en la ciudad de Saltillo puede ser una herramienta viable para el monitoreo de la calidad ambiental.
APA, Harvard, Vancouver, ISO, and other styles
36

Łuczkiewicz, Piotr, Małgorzata Daszkiewicz, Aneta Kuzioła, Jörg Kleemann, Oliver Mecking, and Adam Presz. "How far did they come from? Archaeological and archeometric provenance studies on glass beads recovered from the Malbork-Wielbark cemetery." Praehistorische Zeitschrift 96, no. 1 (June 1, 2021): 173–205. http://dx.doi.org/10.1515/pz-2020-0034.

Full text
Abstract:
Abstract The cemetery at Malbork-Wielbark, Pomeranian voivodship, northern Poland, was in use from phase A1 of the Late Pre-Roman Iron Age (end of the 3rd/beginning of the 2nd century AD) to the early Migration Period (phase D1 – beginning of the 5th century AD). To date over 2,000 cremation and inhumation burials have been excavated at this site, yielding at least 3,500 glass beads. They appear mainly in inhumation graves from the early and late Roman Period and the early phase of the Migration Period (phases C1b–C3–D1), and at that time they were deposited in about 40 % of the graves in this cemetery. They are rarely found in cremation graves, and when they do appear it is usually in assemblages of later date. The number of beads in cremation graves throughout the lifespan of the necropolis was usually limited to just one or no more than a few. There is no clear correlation between the presence of glass beads in graves (cremation and inhumation) and the ‘quality’ of the grave goods, and therefore the status of the deceased. Beads (in varying numbers) appear sometimes in poor burials of various date, where they constitute the only (or almost only) grave goods. In theory, graves with more burial goods should contain a correspondingly greater number of beads, but there are many exceptions to this rule. Extensive, multi-element necklaces were one of the characteristic features of dress during the so-called “Wielbark Baroque” (phase B2/C1–C1a). In Malbork-Wielbark, such prestigious necklaces, with numerous glass beads, appear mainly in richly furnished burials from phase C of the Roman Period. In some really rich graves, however, the presence of beads seems to be reduced to a symbolic minimum. Anthropological analyses could be performed for 25 bead-bearing burials of the 41 excavated during 2008–2018. The results seem to suggest no relationship between the age of the individual, the type of burial rite and the variation in the number of beads in the grave. However, it is interesting that a relatively large proportion of the graves with a greater range of glass ornaments and items of dress were burials representing the infans I and infans II age groups. The selected beads were subjected to various laboratory analyses, examining both the morphology of the materials, based on surface observation with a scanning electron microscope (SEM), and their chemical composition. The chemical composition of all beads submitted for analysis was determined using the Laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) technique. This analysis was performed for each colour in the case of multicoloured beads. A total of 43 glasses were analysed, including 18 single-colour beads and 25 analyses of variously coloured glasses taken from eight multicoloured beads. Additionally, some samples were analysed using an energy-dispersive X-ray spectroscopy (EDS) microanalysis system fitted with SEM, and for some the chemical composition was determined by wavelength-dispersive X-ray fluorescence (WD-XRF). The obtained results showed that all analysed samples represent soda-lime-silica glass (SLS glass), the majority of these samples having been made using a natural soda (natron). Due to the low content of Mg and K, they are referred to as LMLK glass. This means that, taking into account the results of the analysis, the majority of the analysed beads are consistent with glass beads produced in the Roman tradition. Only five of the 43 glass samples represent types of soda-lime-silica glass using plant ash as the flux. Due to the high content of Mg and K they are referred to as HMHK glasses. The individual glasses used in each bead came not from one but from various glass-melting factories. There is virtually no correlation between the type/ variety of glass and the dating of the graves in which the beads were deposited.
APA, Harvard, Vancouver, ISO, and other styles
37

Shul, R. J., G. A. Vawter, C. G. Willison, M. M. Bridges, J. W. Lee, S. J. Pearton, and C. R. Abernathy. "Comparison Of Dry-Etch Techniques For Gan, Inn, And Ain." MRS Proceedings 483 (1997). http://dx.doi.org/10.1557/proc-483-103.

Full text
Abstract:
AbstractFabrication of group-III nitride devices relies on the ability to pattern features to depths ranging from ∼1000 Å to > 5 μm with anisotropic profiles, smooth morphologies, selective etching of one material over another, and a low degree of plasma-induced damage. In this study, GaN etch rates and etch profiles are compared using reactive ion etch (RIE), reactive ion beam etching (RIBE), electron cyclotron resonance (ECR), and inductively coupled plasma (ICP) etch systems. RIE yielded the slowest etch rates and sloped etch profiles despite dc-biases > −900 V. ECR and ICP etching yielded the highest rates with anisotropic profiles due to their high plasma flux and the ability to control ion energies independently of plasma density. RIBE etch results also showed anisotropic profiles with slower etch rates than either ECR or ICP possibly due to lower ion flux. InN and AIN etch characteristics are also compared using ICP and RIBE.
APA, Harvard, Vancouver, ISO, and other styles
38

Beheim, Glenn, and Carl S. Salupo. "Deep RIE Process for Silicon Carbide Power Electronics and MEMS." MRS Proceedings 622 (2000). http://dx.doi.org/10.1557/proc-622-t8.9.1.

Full text
Abstract:
ABSTRACTReactive ion etching (RIE) of silicon carbide (SiC) to depths ranging from 10 μm to more than 100 μm is required for the fabrication of SiC power electronics and SiC MEMS. A deep RIE process using an inductively coupled plasma (ICP) etch system has been developed which provides anisotropic etch profiles and smooth etched surfaces, a high rate (3000 Å/min), and a high selectivity (80:1) to the etch mask. An etch depth of 100 μm is demonstrated.
APA, Harvard, Vancouver, ISO, and other styles
39

Le Dain, Guillaume, Feriel Laourine, Stéphane Guilet, Thierry Czerwiec, Gregory Marcos, Cédric Noël, Gerard Henrion, Christophe Cardinaud, Aurelie Girard, and Ahmed Rhallabi. "Etching of iron and iron-chromium alloys using ICP-RIE chlorine plasma." Plasma Sources Science and Technology, July 22, 2021. http://dx.doi.org/10.1088/1361-6595/ac1714.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Shul, R. J., R. D. Briggs, S. J. Pearton, C. B. Vartuli, C. R. Abernathy, J. W. Lee, C. Constantine, and C. Barratt. "Chlorine-Based Plasma Etching of GaN." MRS Proceedings 449 (1996). http://dx.doi.org/10.1557/proc-449-969.

Full text
Abstract:
ABSTRACTThe wide band gap group-III nitride materials continue to generate interest in the semiconductor community with the fabrication of green, blue, and ultraviolet light emitting diodes (LEDs), blue lasers, and high temperature transistors. Realization of more advanced devices requires pattern transfer processes which are well controlled, smooth, highly anisotropic and have etch rates exceeding 0.5 μm/min. The utilization of high-density chlorine-based plasmas including electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) systems has resulted in improved etch quality of the group-III nitrides over more conventional reactive ion etch (RIE) systems.
APA, Harvard, Vancouver, ISO, and other styles
41

Van Hai, Le, Mitsue Takahashi, and Shigeki Sakai. "Recent Progress in Downsizing FeFETs for Fe-NAND Application." MRS Proceedings 1337 (2011). http://dx.doi.org/10.1557/opl.2011.977.

Full text
Abstract:
ABSTRACTSub-micrometer ferroelectric-gate field-effect transistors (FeFETs) of 0.56 μm and 0.50 μm gate lengths were successfully fabricated for Fe-NAND cells. Gate stacks of the FeFETs were Pt/SrBi2Ta2O9(SBT)/Hf-Al-O/Si. The gate stacks were formed by electron beam lithography and inductively coupled plasma reactive ion etching (ICP-RIE). Ti and SiO2 hard masks were used for the 0.56 μm- and 0.50 μm-gate FeFETs, respectively, in the ICP-RIE process. Steep SBT sidewalls with the angle of 85° were obtained by using the SiO2 hard masks while 76° sidewalls were shown using Ti hard masks. All fabricated FeFETs showed good electrical characteristics. Drain current hysteresis showed larger memory windows than 0.95 V when the gate voltages were swung between 1±5 V. The FeFETs showed stable endurance behaviors over 108 program/erase cycles. Drain current retention properties of the FeFETs were good so that the drain current on/off ratios did not show practical changes after 3 days.
APA, Harvard, Vancouver, ISO, and other styles
42

Shul, R. J., C. G. Willison, M. M. Bridges, J. Han, J. W. Lee, S. J. Pearton, C. R. Abernathy, J. D. MacKenzie, and S. M. Donovan. "Selective Etching Of Wide Bandgap Nitrides." MRS Proceedings 483 (1997). http://dx.doi.org/10.1557/proc-483-155.

Full text
Abstract:
AbstractHigh-density plasma etching has been an effective patterning technique for the group-III nitrides due to ion fluxes which are 2 to 4 orders of magnitude higher than more conventional reactive ion etch (RIE) systems. GaN etch rates exceeding 0.68 μm/min have been reported in Cl2/H2/Ar inductively coupled plasmas (ICP) at -280 V dc-bias. Under these conditions, the etch mechanism is dominated by ion bombardment energies which can induce damage and minimize etch selectivity. High selectivity etch processes are often necessary for heterostructure devices which are becoming more prominent as growth techniques improve. In this study, we will report high-density ICP etch rates and selectivities for GaN, AIN, and InN as a function of cathode power, ICP-source power, and chamber pressure. GaN:AIN selectivities > 8:1 were observed in a Cl2/Ar plasma at 10 mTorr pressure, 500 W ICP-source power, and 130 W cathode rf-power, while the GaN:InN selectivity was optimized at ∼ 6.5:1 at 5 mTorr, 500 W ICP-source power, and 130 W cathode rf-power.
APA, Harvard, Vancouver, ISO, and other styles
43

Nilsen, Tron Arne, Anthony Martinez, Renato Bugge, Aaron Moscho, Luke F. Lester, and Bjørn-Ove Fimland. "High aspect ratio etching of GaSb/AlGaAsSb for photonic crystals." MRS Proceedings 891 (2005). http://dx.doi.org/10.1557/proc-0891-ee01-03.

Full text
Abstract:
ABSTRACTPhotonic crystal structures defined by interferometric lithography were etched into GaSb and AlGaAsSb with 90% Al content using Inductively Coupled Plasma (ICP) Reactive Ion Etching (RIE) with BCl3 and BCl3/Ar gas mixture. Effects of DC bias, hole diameter, etch time and gas composition, on the etch rate of GaSb were investigated. Hardened photoresist (PR) was used as an etch mask for the experiments.
APA, Harvard, Vancouver, ISO, and other styles
44

Stoica, Toma, Anna Haab, David Griesche, Martin Mikulics, Friederich Limbach, Timo Schumann, Tobias Gotschke, et al. "Photoluminescence and Raman scattering studies of GaN nanowires obtained by top-down and bottom-up approaches." MRS Proceedings 1408 (2012). http://dx.doi.org/10.1557/opl.2012.196.

Full text
Abstract:
ABSTRACTWe present comparative studies of optical properties of GaN nanowires (NWs) obtained by two different self-formation techniques: Plasma-Assisted Molecular Beam Epitaxy (PAMBE) growth; and plasma etching of GaN layers deposited by Metal-Organic Vapor Phase Epitaxy (MOVPE). The effects of the coalescence process on grown NW and plasma-induced defects in etched NWs have been studied by photoluminescence (PL) and Raman scattering. In MBE grown NWs, the coalescence-associated defects are extended toward the NW top for intermediate Ga flux. Using High Resolution Electron Microscopy of reactive plasma etching (RIE) NWs, it was found that NWs obtained with an optimal combination of inductive (ICP) and capacitive (RF) plasma are free of extended structural defects. The PL efficiency is strongly increased in plasma etched NWs. However, plasma-induced point defects have to be taken into account for explaining the changes of the PL spectra. Less plasma-induced degradation is observed for high ICP/RF power ratios.
APA, Harvard, Vancouver, ISO, and other styles
45

Shul, R. J., C. I. H. Ashby, C. G. Willison, L. Zhang, J. Han, M. M. Bridges, S. J. Pearton, J. W. Lee, and L. F. Lester. "GaN Etching in BCl3/Cl2 Plasmas." MRS Proceedings 512 (1998). http://dx.doi.org/10.1557/proc-512-487.

Full text
Abstract:
ABSTRACTGaN etching can be affected by a wide variety of parameters including plasma chemistry and plasma density. Chlorine-based plasmas have been the most widely used plasma chemistries to etch GaN due to the high volatility of the GaClx and NClx etch products. The source of Cl and the addition of secondary gases can dramatically influence the etch characteristics primarily due to their effect on the concentration of reactive Cl generated in the plasma. In addition, high-density plasma etch systems have yielded high quality etching of GaN due to plasma densities which are 2 to 4 orders of magnitude higher than reactive ion etch (RIE) plasma systems. The high plasma densities enhance the bond breaking efficiency of the GaN, the formation of volatile etch products, and the sputter desorption of the etch products from the surface. In this study, we report GaN etch results for a high-density inductively coupled plasma (ICP) as a function of BCl3:Cl2 flow ratio, dc-bias, chamber pressure, and ICP source power. GaN etch rates ranging from ∼100 Å/min to > 8000 Å/min were obtained with smooth etch morphology and anisotropic profiles.
APA, Harvard, Vancouver, ISO, and other styles
46

"Studing the Effect of Etching Process on the Ohmic Specific Contact Resistance of AlGaN/GaN HEMT." JST: Engineering and Technology for Sustainable Development 31.2, no. 149 (April 15, 2021). http://dx.doi.org/10.51316/jst.149.etsd.2021.31.2.16.

Full text
Abstract:
In electronic devices, ranging from integrated circuits to solar cells, the Ohmic specific contact resistance between metal and semiconductor is a measure of device performance. In this paper, the effect of Induction Coupled Plasma etching (ICP) on creating specific contact resistance between metals and semiconductors was investigated by linear transmission method (LTLM). The obtained results show that selecting etching depth and etch process conditions by ICP method before metal coating is a decisive step in the manufacture of low resistance Ohmic contact. The value of formed Ohmic specific contact resistance is the lowest when the etching depth ensures the metal layers to cover the doped AlGaN region at a distance of about 8nm above the AlGaN/GaN interface. With an ion power source (RIE) of 30W and a plasma power source (ICP) of 250W, the etching rate of AlGaN material is approximately 27.21 nm / minute. The Ohmic specific contact resistance of metal layers Ti (20nm) / Al (200nm) / Pd (60nm) / Au (100nm) with AlGaN semiconductor has an optimal value of ρc = 1.08 x 10-7 cm2, despite the sample was annealing at a relatively low temperature of 650oC, in a nitrogen atmosphere at 650oC.
APA, Harvard, Vancouver, ISO, and other styles
47

Ganjian, Mahya, Khashayar Modaresifar, Hongzhi Zhang, Peter-Leon Hagedoorn, Lidy E. Fratila-Apachitei, and Amir A. Zadpoor. "Reactive ion etching for fabrication of biofunctional titanium nanostructures." Scientific Reports 9, no. 1 (December 2019). http://dx.doi.org/10.1038/s41598-019-55093-y.

Full text
Abstract:
AbstractOne of the major problems with the bone implant surfaces after surgery is the competition of host and bacterial cells to adhere to the implant surfaces. To keep the implants safe against implant-associated infections, the implant surface may be decorated with bactericidal nanostructures. Therefore, fabrication of nanostructures on biomaterials is of growing interest. Here, we systematically studied the effects of different processing parameters of inductively coupled plasma reactive ion etching (ICP RIE) on the Ti nanostructures. The resultant Ti surfaces were characterized by using scanning electron microscopy and contact angle measurements. The specimens etched using different chamber pressures were chosen for measurement of the mechanical properties using nanoindentation. The etched surfaces revealed various morphologies, from flat porous structures to relatively rough surfaces consisting of nanopillars with diameters between 26.4 ± 7.0 nm and 76.0 ± 24.4 nm and lengths between 0.5 ± 0.1 μm and 5.2 ± 0.3 μm. The wettability of the surfaces widely varied in the entire range of hydrophilicity. The structures obtained at higher chamber pressure showed enhanced mechanical properties. The bactericidal behavior of selected surfaces was assessed against Staphylococcus aureus and Escherichia coli bacteria while their cytocompatibility was evaluated with murine preosteoblasts. The findings indicated the potential of such ICP RIE Ti structures to incorporate both bactericidal and osteogenic activity, and pointed out that optimization of the process conditions is essential to maximize these biofunctionalities.
APA, Harvard, Vancouver, ISO, and other styles
48

Golka, S., M. Austerer, C. Pflügl, W. Schrenk, and G. Strasser. "Processing of deeply etched GaAs/AlGaAs quantum cascade lasers with grating structures." MRS Proceedings 829 (2004). http://dx.doi.org/10.1557/proc-829-b5.2.

Full text
Abstract:
ABSTRACTGratings in GaAs/AlGaAs mid-infrared quantum cascade lasers (QCLs) are fabricated with a structure depth of more than 10 μm. A N2/SiCl4 inductively coupled plasma reactive ion etching (ICP-RIE) process was employed to achieve extremely smooth sidewalls and selectivities to the SiNX etch mask of up to 70:1. EDX spectra measured on as-etched samples show that sidewall etch inhibition is caused by a thin Si containing layer on the sidewalls that is formed simultaneously with ICP etching of GaAs at the bottom of the trenches. To demonstrate device application gratings with a pitch of 1.72 μm are applied to long rib waveguide -based QCLs emitting at λ = 10.7 μm. When etched laterally together with the rib the grating gives rise to stable single mode emission up to 295K from these QCLs. The respective grating coupling coefficient is determined to be κ = 29 cm-1.
APA, Harvard, Vancouver, ISO, and other styles
49

Shul, R. J., R. D. Briggs, J. Han, S. J. Pearton, J. W. Lee, C. B. Vartuli, K. P. Killeen, and M. J. Ludowise. "Patterning of GaN in High-Density Cl2- and BCl3-Based Plasmas." MRS Proceedings 468 (1997). http://dx.doi.org/10.1557/proc-468-355.

Full text
Abstract:
ABSTRACTFabrication of group-Ill nitride electronic and photonic devices relies heavily on the ability to pattern features with anisotropie profiles, smooth surface morphologies, etch rates often exceeding 0.5 μm/min, and a low degree of plasma-induced damage. Patterning these materials has been especially difficult due to their high bond energies and their relatively inert chemical nature as compared to other compound semiconductors. However, high-density plasma etching has been an effective patterning technique due to ion fluxes which are 2 to 4 orders of magnitude higher than conventional RIE systems. GaN etch rates as high as -1.3 μm/min have been reported in ECR generated ICI plasmas at -150 V dc-bias. In this study, we report high-density GaN etch results for ECR- and ICP-generated plasmas as a function of Cl2- and BCl3-based plasma chemistries.
APA, Harvard, Vancouver, ISO, and other styles
50

Hicks, Marie-Laure, Alexander C. Pakpour-Tabrizi, and Richard B. Jackman. "Diamond Etching Beyond 10 μm with Near-Zero Micromasking." Scientific Reports 9, no. 1 (October 30, 2019). http://dx.doi.org/10.1038/s41598-019-51970-8.

Full text
Abstract:
Abstract To exploit the exceptional properties of diamond, new high quality fabrication techniques are needed to produce high performing devices. Etching and patterning diamond to depths beyond one micron has proven challenging due to the hardness and chemical resistance of diamond. A new cyclic Ar/O2 - Ar/Cl2 ICP RIE process has been developed to address micromasking issues from the aluminium mask by optimising the proportion of O2 in the plasma and introducing a preferential “cleaning” step. High quality smooth features up to, but not limited to, 10.6 μm were produced with an average etched surface roughness of 0.47 nm at a diamond etch rate of 45 nm/min and 16.9:1 selectivity.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography