To see the other types of publications on this topic, follow the link: High-k Oxide.

Journal articles on the topic 'High-k Oxide'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'High-k Oxide.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Kim, In-Goo, Eun-Ji Oh, Yong-Soo Kim, Sok-Won Kim, In-Sung Park, and Won-Kyu Lee. "Thermal Conductivity Measurement of High-k Oxide Thin Films." Journal of the Korean Vacuum Society 19, no. 2 (March 30, 2010): 141–47. http://dx.doi.org/10.5757/jkvs.2010.19.2.141.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Gillen, R., and J. Robertson. "Electronic structure of lanthanide oxide high K gate oxides." Microelectronic Engineering 109 (September 2013): 72–74. http://dx.doi.org/10.1016/j.mee.2013.03.011.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Kim, Young Mo, Chulkwon Park, Taewoo Ha, Useong Kim, Namwook Kim, Juyeon Shin, Youjung Kim, Jaejun Yu, Jae Hoon Kim, and Kookrin Char. "High-k perovskite gate oxide BaHfO3." APL Materials 5, no. 1 (January 2017): 016104. http://dx.doi.org/10.1063/1.4974864.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Maple, M. Brian. "High Tc Oxide Superconductors." MRS Bulletin 14, no. 1 (January 1989): 20–24. http://dx.doi.org/10.1557/s0883769400053859.

Full text
Abstract:
The recent revolution in high temperature superconducting materials has generated a wave of intense excitement and activity that has swept through the scientific community, attracting the attention of the news media and general public as well. The reason for this is twofold: the unexpected occurrence of superconductivity at such high temperatures is of immense scientific interest, and the new high temperature oxide superconductors may have important technological applications.Based on a large amount of experimental information and (presumed!) theoretical understanding, the prevailing view prior to 1986, when high temperature superconductivity in oxides was discovered, was that the maximum value of the superconducting transition temperature Tc of any material would not increase much above ˜23 K, the high Tc record held since 1973 by the A15 compound Nb3Ge. In fact, between 1911 (the year H. Kammerlingh Onnes discovered superconductivity) and 1986, Tc only increased at an average rate of ˜0.25 K per year. However, within the last two years the maximum Tc value of the new copper oxide super-conductors has risen at an average rate of ˜50 K per year to its present value of ˜125 K! Thus, superconductivity near or above room temperature no longer seems out of the question, as it did a few short years ago! Moreover, the oxides were generally regarded as the least likely candidates for high Tc superconductivity due to their low concentrations of charge carriers. An understanding of the origin and nature of high Tc superconductivity in the new oxide compounds constitutes one of the most important and challenging scientific problems that has emerged in recent years.
APA, Harvard, Vancouver, ISO, and other styles
5

Chang, Edward Yi, Hai-Dang Trinh, Yueh-Chin Lin, Hiroshi Iwai, and Yen-Ku Lin. "Development of high k/III-V (InGaAs, InAs, InSb) structures for future low power, high speed device applications." MRS Proceedings 1538 (2013): 291–302. http://dx.doi.org/10.1557/opl.2013.585.

Full text
Abstract:
ABSTRACTIII-V compounds such as InGaAs, InAs, InSb have great potential for future low power high speed devices (such as MOSFETs, QWFETs, TFETs and NWFETs) application due to their high carrier mobility and drift velocity. The development of good quality high k gate oxide as well as high k/III-V interfaces is prerequisite to realize high performance working devices. Besides, the downscaling of the gate oxide into sub-nanometer while maintaining appropriate low gate leakage current is also needed. The lack of high quality III-V native oxides has obstructed the development of implementing III-V based devices on Si template. In this presentation, we will discuss our efforts to improve high k/III-V interfaces as well as high k oxide quality by using chemical cleaning methods including chemical solutions, precursors and high temperature gas treatments. The electrical properties of high k/InSb, InGaAs, InSb structures and their dependence on the thermal processes are also discussed. Finally, we will present the downscaling of the gate oxide into sub-nanometer scale while maintaining low leakage current and a good high k/III-V interface quality.
APA, Harvard, Vancouver, ISO, and other styles
6

Li, Flora M., Bernhard C. Bayer, Stephan Hofmann, James D. Dutson, Steve J. Wakeham, Mike J. Thwaites, William I. Milne, and Andrew J. Flewitt. "High-k (k=30) amorphous hafnium oxide films from high rate room temperature deposition." Applied Physics Letters 98, no. 25 (June 20, 2011): 252903. http://dx.doi.org/10.1063/1.3601487.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Zhao, C., T. Witters, B. Brijs, H. Bender, O. Richard, M. Caymax, T. Heeg, et al. "Ternary rare-earth metal oxide high-k layers on silicon oxide." Applied Physics Letters 86, no. 13 (March 28, 2005): 132903. http://dx.doi.org/10.1063/1.1886249.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Osten, H. J., E. Bugiel, and A. Fissel. "Epitaxial praseodymium oxide: a new high-K dielectric." Solid-State Electronics 47, no. 12 (December 2003): 2161–65. http://dx.doi.org/10.1016/s0038-1101(03)00190-4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Xiong, K., and J. Robertson. "Point defects in HfO2 high K gate oxide." Microelectronic Engineering 80 (June 2005): 408–11. http://dx.doi.org/10.1016/j.mee.2005.04.098.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Butterbaugh, Jeffery W., Steven L. Nelson, and Thomas J. Wagener. "Uniform Ultrathin Oxide Growth for High-k Preclean." Solid State Phenomena 103-104 (April 2005): 15–18. http://dx.doi.org/10.4028/www.scientific.net/ssp.103-104.15.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Lu, Jiang, Yue Kuo, and Jun-Yen Tewg. "Hafnium-Doped Tantalum Oxide High-k Gate Dielectrics." Journal of The Electrochemical Society 153, no. 5 (2006): G410. http://dx.doi.org/10.1149/1.2180647.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Duguey, Sonia, Richard Lebourgeois, and Jean Marc Heintz. "Sintering of High K LTCC Compatible Dielectrics." Materials Science Forum 534-536 (January 2007): 1501–4. http://dx.doi.org/10.4028/www.scientific.net/msf.534-536.1501.

Full text
Abstract:
This study deals with the co-sintering of copper oxide added ANT with alumina tapes and silver ink. AgNb1/2Ta1/2O3 (refered ANT 22) was synthesized using niobium oxide with fine and large grain size to examine the effect of the granulometry on the tape casting. The resulting multilayers were co-fired between 850 and 900°C. Phase identification was investigated by XRD. Energy Dispersive Spectroscopy was performed to study the interdiffusion between the layers. Permittivity and dielectric losses were measured at 50 kHz for both tape cast samples and bullk material. No interdiffusion was observed between ANT/silver and ANT/alumina and this is a very promising result for LTCC applications.
APA, Harvard, Vancouver, ISO, and other styles
13

Lowalekar, Viral, and Srini Raghavan. "Etching of Zirconium Oxide, Hafnium Oxide, and Hafnium Silicates in Dilute Hydrofluoric Acid Solutions." Journal of Materials Research 19, no. 4 (April 2004): 1149–56. http://dx.doi.org/10.1557/jmr.2004.0149.

Full text
Abstract:
Oxides and silicates of zirconium and hafnium are actively being considered and tested to replace SiO2 as the gate material. Though these materials have the high-dielectric constant (k ∼ 20–25) needed to provide a larger equivalent oxide thickness, they are very refractory and difficult to etch by wet and dry methods. In this paper, work done on wet etching of ZrO2, HfO2, and HfSixOy in dilute hydrofluoric acid (HF) solutions is presented and discussed. Experiments were done on various high-k films deposited by metalorganic chemical vapor deposition. It was found that the as-deposited high-k films can be dissolved with a good selectivity over SiO2 in dilute HF solutions, but heat-treated high-k films are difficult to etch with good selectivity over SiO2 under the same conditions.
APA, Harvard, Vancouver, ISO, and other styles
14

Choi, Minseok, John L. Lyons, Anderson Janotti, and Chris G. Van de Walle. "Impact of native defects in high-k dielectric oxides on GaN/oxide metal-oxide-semiconductor devices." physica status solidi (b) 250, no. 4 (March 1, 2013): 787–91. http://dx.doi.org/10.1002/pssb.201200628.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Lin, Chen-Han, and Yue Kuo. "Nanocrystalline ruthenium oxide embedded zirconium-doped hafnium oxide high-k nonvolatile memories." Journal of Applied Physics 110, no. 2 (July 15, 2011): 024101. http://dx.doi.org/10.1063/1.3606477.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Xiao, Zhitong, Jiashen Meng, Fanjie Xia, Jinsong Wu, Fang Liu, Xiao Zhang, Linhan Xu, Xinming Lin, and Liqiang Mai. "K+ modulated K+/vacancy disordered layered oxide for high-rate and high-capacity potassium-ion batteries." Energy & Environmental Science 13, no. 9 (2020): 3129–37. http://dx.doi.org/10.1039/d0ee01607a.

Full text
Abstract:
A K+/vacancy disordered structure has been obtained by simply modulating the K+ contents in a series of KxMn0.7Ni0.3O2 layered oxides, which exhibits outstanding rate performance.
APA, Harvard, Vancouver, ISO, and other styles
17

Melot, B., E. Rodriguez, Th Proffen, M. A. Hayward, and R. Seshadri. "Displacive disorder in three high-k bismuth oxide pyrochlores." Materials Research Bulletin 41, no. 5 (May 2006): 961–66. http://dx.doi.org/10.1016/j.materresbull.2006.02.004.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Triyoso, Dina H., David C. Gilmer, Jack Jiang, and Ravi Droopad. "Characteristics of thin lanthanum lutetium oxide high-k dielectrics." Microelectronic Engineering 85, no. 8 (August 2008): 1732–35. http://dx.doi.org/10.1016/j.mee.2008.04.041.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Nomura, K. "All oxide transparent MISFET using high-k dielectrics gates." Microelectronic Engineering 72, no. 1-4 (April 2004): 294–98. http://dx.doi.org/10.1016/j.mee.2004.01.007.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Först, Clemens J., Christopher R. Ashman, Karlheinz Schwarz, and Peter E. Blöchl. "The interface between silicon and a high-k oxide." Nature 427, no. 6969 (January 2004): 53–56. http://dx.doi.org/10.1038/nature02204.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Hu, Yaoqiao, Changhong Wang, Hong Dong, Robert M. Wallace, Kyeongjae Cho, Wei-Hua Wang, and Weichao Wang. "Origin of Indium Diffusion in High-k Oxide HfO2." ACS Applied Materials & Interfaces 8, no. 11 (March 10, 2016): 7595–600. http://dx.doi.org/10.1021/acsami.6b01068.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Ray, S. K., R. Mahapatra, and S. Maikap. "High-k gate oxide for silicon heterostructure MOSFET devices." Journal of Materials Science: Materials in Electronics 17, no. 9 (September 2006): 689–710. http://dx.doi.org/10.1007/s10854-006-0015-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Park, Joon Won, Dong Hak Kim, Haeyang Chung, D. Lim, and You Min Chang. "Oxide Defects of Laser-Spike-Annealed Ultrathin Hafnium-Oxide High-k Dielectric Stacks." Journal of the Korean Physical Society 54, no. 4 (April 15, 2009): 1564–68. http://dx.doi.org/10.3938/jkps.54.1564.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Zhai, Dong-Yuan, Jun Zhu, Yi Zhao, Yin-Fei Cai, Yi Shi, and You-Liao Zheng. "High performance trench MOS barrier Schottky diode with high- k gate oxide." Chinese Physics B 24, no. 7 (June 25, 2015): 077201. http://dx.doi.org/10.1088/1674-1056/24/7/077201.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Ehrke, U., A. Sears, L. Alff, and D. Reisinger. "High resolution depth profiling of thin STO in high-k oxide material." Applied Surface Science 231-232 (June 2004): 598–602. http://dx.doi.org/10.1016/j.apsusc.2004.03.120.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Antol, Marcel, Katarina Prandová, and Milan Hronec. "Promoted TiO2 (Anatase)-Supported Vanadium Oxide Catalysts. TPR Study and Activity in Oxidation of Toluene." Collection of Czechoslovak Chemical Communications 61, no. 11 (1996): 1665–74. http://dx.doi.org/10.1135/cccc19961665.

Full text
Abstract:
Vanadium oxide doped with K, Li, Bi, Sb, Te, U or Mo oxide, supported on TiO2 - anatase, was studied by temperature programmed reduction (TPR). The influence of the addition of promoters (up to molar ratio M : V = 0.5) to 5 wt.% V2O5/TiO2 catalyst on the TPR profile is presented in correlation with their catalytic activity in the vapor phase oxidation of toluene. All promoters, except Bi2O3, decrease the catalyst reducibility and decrease the rate of the toluene oxidation. A strong negative influence on the activity of the toluene oxidation have K, Li, and Te oxides. However, the presence of all tested promoters in the molar ratio M : V = 0.05 has a positive effect on the selectivity of benzoic acid formation. A further increase of this ratio leads to a decrease of the selectivity in the case of U, Mo and mainly K oxides, while with Li, Bi, Sb, and Te oxides, the selectivity remains almost unchanged. No correlation between TPR profiles of doped catalysts and their selectivity was found. The most effective promoter of vanadia catalysts for the benzoic acid production is Sb oxide, possessing a very high selectivity at high conversion of toluene.
APA, Harvard, Vancouver, ISO, and other styles
27

Kaneko, Keiji, Hiroko Kaneko, Hideo Ihaea, Masayuki Hirabayashi, Korio Terada, and Masatoshi Jho. "XRF Spectrometry Determination of YBCO System BPSCCO System, and TBCCO System High Tc Oxide Superconductors." Advances in X-ray Analysis 35, B (1991): 1139–45. http://dx.doi.org/10.1154/s0376030800013422.

Full text
Abstract:
Since Bednortz and Muller discovered high Tc oxide superconductors in 1986. many oxide superconductors are synthesized by many workers. These are YBCO system (Tc 90 K), BPSCCO system (110 K) and TBCCO system (125 K). Even now, the study of preparing higher Tc superconductors has been continued. The starting materials, in which the compositions are deiicatly variec, are mixed and high Tc oxide superconductors are prepared.
APA, Harvard, Vancouver, ISO, and other styles
28

Trybuś, B., J. M. Olive, N. Lenoir, and A. Zieliński. "X-Ray Computer Tomography Study of Degradation of the Zircaloy-2 Tubes Oxidized at High Temperatures." Advances in Materials Science 19, no. 2 (June 1, 2019): 54–71. http://dx.doi.org/10.2478/adms-2019-0011.

Full text
Abstract:
ABSTRACTThe investigations of high-temperature oxidation of zirconium alloys, applied for fuel pellets in nuclear power plants, are usually limited to oxidation kinetics, phase transformations and microstructural characterization. The purpose of this research was to characterize the degradation phenomena occurring within oxide layer and at the interface oxide/metal, on internal and external Zircaloy-2 tube surfaces, below and over crystalline transformation temperature of zirconium oxides. The commercial tubes were oxidized at 1273 K and 1373 K in calm air for 30 min and then examined with a technique novel for such purpose, namely a high-resolution X-ray computer tomography. The light microscopy was used to examine the cross-surfaces. The obtained results show that the form and intensity of oxide damage is significant and it is in a complicated way related to oxidation temperature and on whether external or internal tube surface is studied. The found oxide layer damage forms include surface cracks, the detachment of oxide layers, the appearance of voids, and nodular corrosion. The oxidation effects and damage appearance are discussed taking into account the processes such as formation of oxides, their phase transformation, stress-enhanced formation and propagation of cracks, diffusion of vacancies, formation of nitrides, diffusion of hydrogen into interface oxide-metal, incubation of cracks on second phase precipitates are taken into account to explain the observed phenomena.
APA, Harvard, Vancouver, ISO, and other styles
29

Liu, L., W. Tang, and P. Lai. "Advances in La-Based High-k Dielectrics for MOS Applications." Coatings 9, no. 4 (March 27, 2019): 217. http://dx.doi.org/10.3390/coatings9040217.

Full text
Abstract:
This paper reviews the studies on La-based high-k dielectrics for metal-oxide-semiconductor (MOS) applications in recent years. According to the analyses of the physical and chemical characteristics of La2O3, its hygroscopicity and defects (oxygen vacancies, oxygen interstitials, interface states, and grain boundary states) are the main problems for high-performance devices. Reports show that post-deposition treatments (high temperature, laser), nitrogen incorporation and doping by other high-k material are capable of solving these problems. On the other hand, doping La into other high-k oxides can effectively passivate their oxygen vacancies and improve the threshold voltages of relevant MOS devices, thus improving the device performance. Investigations on MOS devices including non-volatile memory, MOS field-effect transistor, thin-film transistor, and novel devices (FinFET and nanowire-based transistor) suggest that La-based high-k dielectrics have high potential to fulfill the high-performance requirements in future MOS applications.
APA, Harvard, Vancouver, ISO, and other styles
30

Lim, D. G., G. S. Kang, J. H. Yi, K. J. Yang, and J. H. Lee. "Modified CeO2 Deposition Process for High-k Oxide Gate Dielectrics." Journal of the Korean Physical Society 51, no. 3 (September 15, 2007): 1085. http://dx.doi.org/10.3938/jkps.51.1085.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Laha, Apurba, A. Fissel, E. Bugiel, and H. J. Osten. "Epitaxial multi-component rare earth oxide for high-K application." Thin Solid Films 515, no. 16 (June 2007): 6512–17. http://dx.doi.org/10.1016/j.tsf.2006.11.070.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Park, Jonghyurk, R. J. Jung, and Yong-Jai Cho. "Microscopic and Spectroscopic Analysis of High-k Oxide HfOx Films." ECS Transactions 1, no. 5 (December 21, 2019): 341–45. http://dx.doi.org/10.1149/1.2209283.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Bizarro, M., J. C. Alonso, and A. Ortiz. "ZrAlO ternary oxide as a candidate for high-k dielectrics." Materials Science in Semiconductor Processing 9, no. 6 (December 2006): 1090–96. http://dx.doi.org/10.1016/j.mssp.2006.10.028.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Raeissi, B., J. Piscator, O. Engström, S. Hall, O. Buiu, M. C. Lemme, H. D. B. Gottlob, P. K. Hurley, K. Cherkaoui, and H. J. Osten. "High-k-oxide/silicon interfaces characterized by capacitance frequency spectroscopy." Solid-State Electronics 52, no. 9 (September 2008): 1274–79. http://dx.doi.org/10.1016/j.sse.2008.04.005.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Rha, Sang-Ho, Ji Sim Jung, Jeong Hwan Kim, Un Ki Kim, Yoon Jang Chung, Hyung-Suk Jung, Sang-Yoon Lee, and Cheol Seong Hwang. "Amorphous Oxide Semiconductor Memory Using High-k Charge Trap Layer." ECS Transactions 33, no. 5 (December 17, 2019): 375–80. http://dx.doi.org/10.1149/1.3481260.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Liu, Xi, Chia-Han Yang, Yue Kuo, and Tao Yuan. "Memory Functions of Molybdenum Oxide Nanodots-Embedded ZrHfO High-k." Electrochemical and Solid-State Letters 15, no. 6 (2012): H192. http://dx.doi.org/10.1149/2.020206esl.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Osada, Minoru, Kosho Akatsuka, Yasuo Ebina, Hiroshi Funakubo, Kazunori Takada, and Takayoshi Sasaki. "Solution-Based Fabrication of High-k Dielectrics Using Oxide Nanosheets." ECS Transactions 25, no. 6 (December 17, 2019): 349–52. http://dx.doi.org/10.1149/1.3206633.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Kuei, P. Y., and C. C. Hu. "Gadolinium oxide high-k gate dielectrics prepared by anodic oxidation." Applied Surface Science 254, no. 17 (June 2008): 5487–91. http://dx.doi.org/10.1016/j.apsusc.2008.02.115.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Jiang, Guixia, Ao Liu, Guoxia Liu, Chundan Zhu, You Meng, Byoungchul Shin, Elvira Fortunato, Rodrigo Martins, and Fukai Shan. "Solution-processed high-k magnesium oxide dielectrics for low-voltage oxide thin-film transistors." Applied Physics Letters 109, no. 18 (October 31, 2016): 183508. http://dx.doi.org/10.1063/1.4966897.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Pan, Tung-Ming, Ji-Shing Jung, and Fa-Hsyang Chen. "Metal-oxide-high-k-oxide-silicon memory structure incorporating a Tb2O3 charge trapping layer." Applied Physics Letters 97, no. 1 (July 5, 2010): 012906. http://dx.doi.org/10.1063/1.3462321.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Lu, Jiang, and Yue Kuo. "Hafnium-doped tantalum oxide high-k dielectrics with sub-2 nm equivalent oxide thickness." Applied Physics Letters 87, no. 23 (December 5, 2005): 232906. http://dx.doi.org/10.1063/1.2140482.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Pan, Tung-Ming, and Jing-Wei Chen. "Metal-oxide-high-k-oxide-silicon memory structure using an Yb2O3 charge trapping layer." Applied Physics Letters 93, no. 18 (November 3, 2008): 183510. http://dx.doi.org/10.1063/1.3021360.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Rachmady, Willy, James Blackwell, Gilbert Dewey, Mantu Hudait, Marko Radosavljevic, Robert Turkot Jr., and Robert Chau. "Surface Preparation and Passivation of III-V Substrates for Future Ultra-High Speed, Low Power Logic Applications." Solid State Phenomena 145-146 (January 2009): 165–67. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.165.

Full text
Abstract:
III-V compound semiconductors have been recognized among the potential options for continuing transistor power-performance scaling owing to their ultra high charge carrier mobility. In order to realize their potential in high performance and lower-power digital logic applications, there must be strong gate control and a high Ion-Ioff ratio, achieved by integrating a stable, ultra thin high-K dielectric between the semiconductor and the gate [1, 2]. Unlike Si, which has long benefited from its very stable native oxide, III-V materials suffer from their poor native oxides that cause charge traps and Fermi level pinning at the semiconductor-oxide interface. Attempts to deposit high-K directly on III-V often produce MIS structures with fast surface state and CV instability [3].
APA, Harvard, Vancouver, ISO, and other styles
44

Mantilla, Angeles, Francisco Tzompantzi, María Manríquez, Guadalupe Mendoza, Jose L. Fernández, and Ricardo Gómez. "ZnAlFe Mixed Oxides Obtained from LDH Type Materials as Basic Catalyst for the Gas Phase Acetone Condensation." Advanced Materials Research 132 (August 2010): 55–60. http://dx.doi.org/10.4028/www.scientific.net/amr.132.55.

Full text
Abstract:
ZnAlFe mixed oxides with high surface area were obtained by the calcination (723 K) of ZnAlFe layered double hydroxides (LDHs). The calcined materials proved as basic catalysts in the gas phase acetone condensation exhibited high activity and high selectivity towards the formation of mesityl oxide.
APA, Harvard, Vancouver, ISO, and other styles
45

Zhu, Chundan, Ao Liu, Guoxia Liu, Guixia Jiang, You Meng, Elvira Fortunato, Rodrigo Martins, and Fukai Shan. "Low-temperature, nontoxic water-induced high-k zirconium oxide dielectrics for low-voltage, high-performance oxide thin-film transistors." Journal of Materials Chemistry C 4, no. 45 (2016): 10715–21. http://dx.doi.org/10.1039/c6tc02607a.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Sebaai, Farid, Anabela Veloso, Hiroaki Takahashi, Antoine Pacco, Martine Claes, Marc Schaekers, Stefan de Gendt, Paul W. Mertens, and Herbert Struyf. "Dummy Oxide Removal in High-K Last Process Integration how to Avoid Silicon Corrosion Issue." Solid State Phenomena 195 (December 2012): 13–16. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.13.

Full text
Abstract:
The industry has diverged into two main approaches for high-k and metal gate (HKMG) integration. One is the so called gate-first. The other is gate-last, also called replacement metal gate (RMG) where the gate electrode is deposited after junctions formation and the high-k gate dielectric is deposited in the beginning of the flow (high-k first-RMG) or just prior to gate electrode deposition (high-k last-RMG) [1-. We can distinguish two RMG process flows called either high-k first or high-k last. In RMG high-k first, poly silicon is removed on top of a TiN etch stop layer whereas on high-k last poly silicon is removed on top of a dummy oxide layer. This dummy oxide has also to be removed in order to redeposit a novel high-k and work function metal (Figure 1).
APA, Harvard, Vancouver, ISO, and other styles
47

Han, L., D. V. Christensen, A. Bhowmik, S. B. Simonsen, L. T. Hung, E. Abdellahi, Y. Z. Chen, N. V. Nong, S. Linderoth, and N. Pryds. "Scandium-doped zinc cadmium oxide as a new stable n-type oxide thermoelectric material." Journal of Materials Chemistry A 4, no. 31 (2016): 12221–31. http://dx.doi.org/10.1039/c6ta03126a.

Full text
Abstract:
Scandium-doped zinc cadmium oxide is proposed as a new n-type oxide thermoelectric material, which is stable in air at high temperature up to 1073 K, and having a 7-fold-reduced thermal conductivity at RT and the highest ZT ∼0.3 at 1173 K.
APA, Harvard, Vancouver, ISO, and other styles
48

SU, WEI-TAO, DE-XUAN HUO, and BIN LI. "BAND ALIGNMENT AND ATOM SEGREGATION OF LaYbO3 FILMS ON SILICON." Surface Review and Letters 19, no. 02 (April 2012): 1250013. http://dx.doi.org/10.1142/s0218625x12500138.

Full text
Abstract:
Ternary rare earth oxides are expected to be more promising high-k dielectric materials than conventional binary rare earth oxides due to higher band gap, higher permittivity and good interfacial stability. In the present study, the band alignment and atom thermal diffusion of LaYbO3 , a new ternary rare earth oxide, are studied by X-ray photoelectron spectrum (XPS) and angle-resolved XPS, respectively. The band gap value for LaYbO3 crystalline film rises to 6.7 eV compared with 6.2 eV for amorphous film. Valence (ΔEv) and conduction band (ΔEc) offset are ΔEv = 3.5 eV, ΔEc = 1.6 eV for the amorphous film and ΔEv = 3.3 eV, ΔEc = 2.3 eV for the crystalline film. From elemental depth profile through high-k layer and silicon substrate, it is shown that La atom tends to diffuse into silicon substrate and piles up at oxide/silicon interface at high annealing temperature ~1000°C.
APA, Harvard, Vancouver, ISO, and other styles
49

Beverina, Alessio, M. M. Frank, H. Shang, S. Rivillon, F. Amy, C. L. Hsueh, V. K. Paruchuri, et al. "High-k Gate Dielectrics on Silicon and Germanium: Impact of Surface Preparation." Solid State Phenomena 103-104 (April 2005): 3–6. http://dx.doi.org/10.4028/www.scientific.net/ssp.103-104.3.

Full text
Abstract:
We review the impact of semiconductor surface preparation on the performance of metal-oxidesemiconductor field-effect transistor (MOSFET) gate stacks. We discuss high-permittivity dielectrics such as hafnium oxide and aluminum oxide on silicon and on the high carrier mobility substrate germanium. On Si, scaling of the gate stack is the prime concern. On Ge, fundamental issues of chemical and electrical passivation need to be resolved. Surface treatments considered include oxidation, nitridation, hydrogenation, chlorination, and organic functionalization.
APA, Harvard, Vancouver, ISO, and other styles
50

Lu, Feng Ming, Jiang Shao, Xiao Yu Liu, and Xing Hao Wang. "Research on TDDB Effect in High-k Materials." Advanced Materials Research 548 (July 2012): 203–8. http://dx.doi.org/10.4028/www.scientific.net/amr.548.203.

Full text
Abstract:
With continual scaling of ICs, the thickness of gate oxide becomes thinner and thinner which affects the reliability of semiconductor device greatly. The mechanism of time-dependent dielectric breakdown (TDDB) was analyzed. Six mathematical models of TDDB which were divided according to the position of defects and the physical property of charged particles were discussed. Then the dielectric breakdown characteristic of high k dielectrics and the relationships between the breakdown electric field, field acceleration parameter and dielectric constant were analyzed in detail. Finally, the relationships and mathematical models were verified by experimental data which provided theoretical basis for the choosing and use of high k materials.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography