Academic literature on the topic 'IC Design Automation'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'IC Design Automation.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "IC Design Automation"

1

Davidson, Scott. "Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology and Electronic Design Automation for IC System Design, Verification, and Testing." IEEE Design & Test 35, no. 3 (2018): 98–99. http://dx.doi.org/10.1109/mdat.2018.2814988.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Researcher. "THE TRANSFORMATIVE IMPACT OF AUTOMATION IN IC DESIGN AND RTL GENERATION." International Journal of Research In Computer Applications and Information Technology (IJRCAIT) 7, no. 2 (2024): 1290–99. https://doi.org/10.5281/zenodo.14170876.

Full text
Abstract:
This article examines the transformative impact of automation on Integrated Circuit (IC) design and Register Transfer Level (RTL) generation in the semiconductor industry. As IC complexity continues to grow exponentially, traditional manual design methods have become increasingly untenable. We analyze how automation technologies, particularly in RTL generation, are addressing these challenges and reshaping the design landscape. The article presents a historical perspective on RTL generation, from manual coding to AI-driven optimization, and quantifies the benefits of automation through case st
APA, Harvard, Vancouver, ISO, and other styles
3

KS, Srinidhi, and Ravi HK. "Automation Checks during PNR flow in IC Design." International Journal of Engineering Research in Electronics and Communication Engineering 9, no. 8 (2022): 6–10. http://dx.doi.org/10.36647/ijerece/09.08.a002.

Full text
Abstract:
Automation is a technology in that there are different kind of approaches and procedures can be referred. The process of turning manual checks to automatic checks provides impressive benefits. Time saving, cost effective, higher quality, accurate result, less error in the tests which normally caused by human are the most important reasons to thinking about automation. The first and foremost step is to find out what should be automated. It is important to know the reason of automation if, it is worth or not. Here, the question is based on which reason it should decide for automation? For instan
APA, Harvard, Vancouver, ISO, and other styles
4

Researcher. "DECODING EDA IN IC PHYSICAL DESIGN: EXPLORING DESIGN, FLOW ALGORITHMS, AND TOOLS." International Journal of Computer Engineering and Technology (IJCET) 15, no. 5 (2024): 606–17. https://doi.org/10.5281/zenodo.13869210.

Full text
Abstract:
This comprehensive article explores the critical role of Electronic Design Automation (EDA) in modern Integrated Circuit (IC) physical design. It examines the evolving landscape of semiconductor manufacturing, detailing the key stages of IC physical design, including floorplanning, placement, routing, and timing analysis. The article delves into the sophisticated flow algorithms that drive EDA tools, discussing wire length optimization, signal delay minimization, and power distribution balancing. It also highlights the features of leading EDA tools and their integration of advanced algorithms.
APA, Harvard, Vancouver, ISO, and other styles
5

Huang, Yu. "Artificial Intelligence in Electronic Design Automation Assisting Physical Failure Analysis." EDFA Technical Articles 20, no. 3 (2018): 54–55. http://dx.doi.org/10.31399/asm.edfa.2018-3.p054.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Kajtez, Nemanja, Yue Zhang, and Basel Halak. "Lockit: A Logic Locking Automation Software." Electronics 10, no. 22 (2021): 2817. http://dx.doi.org/10.3390/electronics10222817.

Full text
Abstract:
The significant rise in the cost of manufacturing nanoscale integrated circuits (ICs) has led the majority of IC design companies to outsource the fabrication of their products to other companies, often located in different countries. The multinational nature of the hardware supply chain has led to a host of security threats, including IP piracy, IC overproduction, and Trojan insertion. To combat these, researchers have proposed logic locking techniques to protect the intellectual properties of the design and increase the difficulty of malicious modification of its functionality. However, the
APA, Harvard, Vancouver, ISO, and other styles
7

Y.Priya, Mrs., and Kumar Mr. K. Santhosh. "Machine Learning Role in IC Design of VLSI." International Journal of Research 12, no. 5 (2025): 460–70. https://doi.org/10.5281/zenodo.15525593.

Full text
Abstract:
AI has influenced the field of integrated circuits, this being its first application in AI. This technology replaces the traditional VLSI design methodology existing today. Automation of design developments have been implemented by replacing the time-consuming manual design’s generated by humans. This advancement would lead to massive revolution in the area of hardware computation and AI research domain. With the advent of modern chip, which are highly complex, it is a very tedious and slow process to design with humanly aids. Artificial Intelligence (AI) has been playing an increasingly
APA, Harvard, Vancouver, ISO, and other styles
8

Makris, C. A., and C. Toumazou. "Analog IC design automation. II. Automated circuit correction by qualitative reasoning." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 14, no. 2 (1995): 239–54. http://dx.doi.org/10.1109/43.370423.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Mirkovic, Dejan, та Predrag Petkovic. "Design automation of ΔΣ switched capacitor modulators using spice and MATLAB". Serbian Journal of Electrical Engineering 11, № 1 (2014): 47–59. http://dx.doi.org/10.2298/sjee131017005m.

Full text
Abstract:
Concerning the fact that the design of contemporary integrated circuits (IC) is practically impossible without using sophisticated Electronic Design Automation (EDA) software, this paper gives some interesting thoughts and considerations about that issue. As technology processes advances on year basis consequently EDA industry is forced to follow this trend as well. This, on the other hand, requires IC designer to frequently and efficiently accommodate to new working environments. Authors of this paper suggest a method for high level circuit analysis that is based on using common (open source
APA, Harvard, Vancouver, ISO, and other styles
10

Ammes, Gabriel, Paulo Francisco Butzen, André Inácio Reis, and Renato Ribas. "Two-Level and Multilevel Approximate Logic Synthesis." Journal of Integrated Circuits and Systems 17, no. 3 (2023): 1–14. http://dx.doi.org/10.29292/jics.v17i3.661.

Full text
Abstract:
Approximate computing represents a modern design paradigm that allows systems to have imprecise or inexact execution, aiming to optimize circuit area, performance, and power dissipation. The automatic construction of approximate integrated circuits (IC) is performed through computer-aided design (CAD) tools available in electronic design automation (EDA) frameworks. Approximate logic synthesis (ALS), in particular, treats two-level and multilevel topologies of combinational blocks in the development of digital IC design. This work provides a survey of ALS methods presented in the literature, f
APA, Harvard, Vancouver, ISO, and other styles
More sources

Dissertations / Theses on the topic "IC Design Automation"

1

Wu, Patrick B. "A hierarchical design automation methodology for CMOS analogue IC layouts." Thesis, University of Essex, 2004. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.397726.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Healy, Michael Benjamin. "Physical design for performance and thermal and power-supply reliability in modern 2D and 3D microarchitectures." Diss., Georgia Institute of Technology, 2010. http://hdl.handle.net/1853/37093.

Full text
Abstract:
The main objective of this research is to examine the performance, power noise, and thermal trade-offs in modern traditional (2D) and three-dimensionally-integrated (3D) architectures and to present design automation tools and physical design methodologies that enable higher reliability while maintaining microarchitectural performance for these systems. Five main research topics that support this goal are included. The first topic focuses on thermal reliability. The second, third, and fourth, topics examine power-supply noise. The final topic presents a set of physical design and analysis meth
APA, Harvard, Vancouver, ISO, and other styles
3

Marolt, Daniel [Verfasser], and Jörg [Akademischer Betreuer] Schulze. "Layout automation in analog IC design with formalized and nonformalized expert knowledge / Daniel Marolt ; Betreuer: Jörg Schulze." Stuttgart : Universitätsbibliothek der Universität Stuttgart, 2018. http://d-nb.info/1177800616/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Knechtel, Johann. "Interconnect Planning for Physical Design of 3D Integrated Circuits." Doctoral thesis, Saechsische Landesbibliothek- Staats- und Universitaetsbibliothek Dresden, 2014. http://nbn-resolving.de/urn:nbn:de:bsz:14-qucosa-143635.

Full text
Abstract:
Vertical stacking—based on modern manufacturing and integration technologies—of multiple 2D chips enables three-dimensional integrated circuits (3D ICs). This exploitation of the third dimension is generally accepted for aiming at higher packing densities, heterogeneous integration, shorter interconnects, reduced power consumption, increased data bandwidth, and realizing highly-parallel systems in one device. However, the commercial acceptance of 3D ICs is currently behind its expectations, mainly due to challenges regarding manufacturing and integration technologies as well as design automati
APA, Harvard, Vancouver, ISO, and other styles
5

Hsieh, Yu-Hung, and 謝育虹. "Automation Design of Auto Tray in IC Packing System." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/rma578.

Full text
Abstract:
碩士<br>中原大學<br>機械工程研究所<br>107<br>Abstract The main focus of this report is to develop an automatic operation system for IC component packaging and accomplish modular design and application purpose so that completely replacing manual work. Based upon the recoding data measured in the production process of IC component packaging, the demanding production technology is thus defined. Also, considering the automation problems in the process of IC packaging, modular design of mechanism for pick-and-place operation and the classification of IC components by using vision-based inspection is carried out
APA, Harvard, Vancouver, ISO, and other styles
6

Gulati, Kanupriya. "Hardware Acceleration of Electronic Design Automation Algorithms." 2009. http://hdl.handle.net/1969.1/ETD-TAMU-2009-12-7471.

Full text
Abstract:
With the advances in very large scale integration (VLSI) technology, hardware is going parallel. Software, which was traditionally designed to execute on single core microprocessors, now faces the tough challenge of taking advantage of this parallelism, made available by the scaling of hardware. The work presented in this dissertation studies the acceleration of electronic design automation (EDA) software on several hardware platforms such as custom integrated circuits (ICs), field programmable gate arrays (FPGAs) and graphics processors. This dissertation concentrates on a subset of EDA algor
APA, Harvard, Vancouver, ISO, and other styles
7

Jie, Yung, and 羅永杰. "Automatic Synthesis Design for Energy Harvesting IC Systems." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/26486938212238586982.

Full text
Abstract:
碩士<br>國立高雄第一科技大學<br>電子工程研究所<br>99<br>In this paper, an automatic design tool for energy-harvesting circuit and system is developed with the visual basic (VB) software. The synthesis tool can be used to shorten the design time to market. The design has charge controller and maximum power point tracking (MPPT), in order to achieve optimal battery charging control function. Meanwhile, a smart metering system is developed to measure the energy-harvesting system’s information with an online system. In this way, users can get the proposed system’s information at any time and from anywhere. Finally,
APA, Harvard, Vancouver, ISO, and other styles
8

Chang, Min-Lang, and 張敏郎. "Automatic Handler Analysis and Design for IC Programmer." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/88326379088323899572.

Full text
Abstract:
碩士<br>聖約翰科技大學<br>自動化及機電整合研究所<br>99<br>In the past decade, driven by the trend of maximizing IC capacity and minimizing its volume, most famous IC programmer manufactories continue developing automated programmer devices to meet these new requirements. However, because the developing time is too short, the experience accumulated is not enough. Therefore, it has not formed a complete systematic research on such a topic. In this thesis, based on the functionalities and structures of present automated IC programmer devices, we try to propose a new systematic framework such that it can produce high
APA, Harvard, Vancouver, ISO, and other styles
9

Cheng, Che-Hsin, and 鄭哲欣. "A GA-based Automatic Layout System for Analog IC Layout Design." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/59486424607653287514.

Full text
Abstract:
碩士<br>國立臺灣大學<br>工業工程學研究所<br>96<br>Due to the continuous breakthrough of manufacturing process and market expansions in semiconductor industry, semiconductor product development time is more and more tighten for reducing time to market, and increasing the benefits of the upstream and downstream members in the design chain. The focus of this research is the development of automatic layout system which is expected to improve the layout design procedure for analog IC product developments. Obviously, layout extremely affects the performance of analog IC products, and it is truly a time-consuming wo
APA, Harvard, Vancouver, ISO, and other styles
10

Cheng, Che-Hsin. "A GA-based Automatic Layout System for Analog IC Layout Design." 2008. http://www.cetd.com.tw/ec/thesisdetail.aspx?etdun=U0001-2307200813351500.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "IC Design Automation"

1

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC System Design, Verification, and Testing. Taylor & Francis Group, 2018.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC System Design, Verification, and Testing. Taylor & Francis Group, 2017.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Electronic Design Automation for IC System Design, Verification, and Testing. Taylor & Francis Group, 2016.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC System Design, Verification, and Testing. Taylor & Francis Group, 2017.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC System Design, Verification, and Testing. Taylor & Francis Group, 2017.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC System Design, Verification, and Testing. Taylor & Francis Group, 2017.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology. Taylor & Francis Group, 2017.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology. Taylor & Francis Group, 2017.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology. Taylor & Francis Group, 2018.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Martin, Grant, Igor L. Markov, Luciano Lavagno, and Louis K. Scheffer. Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology. Taylor & Francis Group, 2017.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
More sources

Book chapters on the topic "IC Design Automation"

1

Elst, Günter, Peter Schneider, Josef Sauerer, Andreas Wilde, and Manfred Dietrich. "Advanced IC Design and Design Automation for Electronics and Heterogeneous Systems." In Microelectronic Systems. Springer Berlin Heidelberg, 2011. http://dx.doi.org/10.1007/978-3-642-23071-4_1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Airiau, R., G. Arato, J. M. Berge, et al. "The Layout Automation Tools in the CVS IC Design System." In Esprit ’89. Springer Netherlands, 1989. http://dx.doi.org/10.1007/978-94-009-1063-8_9.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Rosa, João P. S., Daniel J. D. Guerra, Nuno C. G. Horta, Ricardo M. F. Martins, and Nuno C. C. Lourenço. "ANNs as an Alternative for Automatic Analog IC Placement." In Using Artificial Neural Networks for Analog Integrated Circuit Design Automation. Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-35743-6_5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Hurtarte, Jeorge S., Evert A. Wolsheimer, and Lisa M. Tafoya. "Electronic Design Automation." In Understanding Fabless IC Technology. Elsevier, 2007. http://dx.doi.org/10.1016/b978-075067944-2/50007-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

"The Integrated Circuit Design Process and Electronic Design Automation." In EDA for IC System Design, Verification, and Testing, edited by Robert Damiano and Raul Camposano. CRC Press, 2018. http://dx.doi.org/10.1201/9781420007947-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

"Automation of IC Layout with Analog Constraints." In Computer-Aided Design of Analog Integrated Circuits and Systems. IEEE, 2009. http://dx.doi.org/10.1109/9780470544310.ch34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Damiano, Robert, Raul Camposano, and Grant E. Martin. "Integrated Circuit Design Process and Electronic Design Automation." In Electronic Design Automation for IC System Design, Verification, and Testing. CRC Press, 2017. http://dx.doi.org/10.1201/b19569-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Tripathi, Suman Lata, and Balwinder Raj. "EDA Tools and Methodology for IC Design." In Exploring the Intricacies of Digital and Analog VLSI. IGI Global, 2025. https://doi.org/10.4018/979-8-3693-8084-0.ch007.

Full text
Abstract:
Increasing complexity in VLSI design leads designer to develop new methodology to meet the design requirements and specifications. Electronic design automation (EDA) support to complex integrated circuit design and performance analysis at transistor, component and block level. The popular EDA tools are Cadence, Spice and TCAD etc, where designer can work at transistor or block level by adding desired component in design window for simulations and performance evaluation. Designer have choice of developing their own technology node under certain specified limit or using any exiting technology model file. Also, there is a specific scripting language or code that run behind these models. Process, voltage and temperature (PVT) at different process corners through corner analysis is used to showcase the circuit stability in different conditions. Monte Carlo Simulation are preferred in power analysis through EDA tools. This chapter describes latest developments in VLSI design techniques, methodology and parametric analysis using EDA tools.
APA, Harvard, Vancouver, ISO, and other styles
9

Otten, Ralph H. J. M. "Design Planning." In Electronic Design Automation for IC System Design, Verification, and Testing. CRC Press, 2017. http://dx.doi.org/10.1201/b19569-14.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Babu, C. V. Suresh, M. Reshma Mariyal, and A. Mega. "EDA Tools and Methodologies." In Exploring the Intricacies of Digital and Analog VLSI. IGI Global, 2025. https://doi.org/10.4018/979-8-3693-8084-0.ch003.

Full text
Abstract:
This study aims to explore the advancements and methodologies in Electronic Design Automation (EDA) tools and their impact on integrated circuit (IC) design processes. Utilizing a comprehensive literature review and case studies, the research examines various EDA methodologies, including high-level synthesis, RTL simulation, and design for testability. Key findings indicate that EDA tools significantly enhance design productivity, accuracy, and reliability while facilitating complex system designs and multidisciplinary collaboration. The integration of artificial intelligence and machine learning within EDA tools further optimizes design processes, leading to cost reductions and improved performance. The implications of this research underscore the critical role of EDA tools in modern IC design, highlighting their necessity for efficient and reliable electronic systems development.
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "IC Design Automation"

1

Scheible, Juergen, and Jens Lienig. "Automation of Analog IC Layout." In ISPD'15: International Symposium on Physical Design. ACM, 2015. http://dx.doi.org/10.1145/2717764.2717781.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Hamdioui, Said, Jean-Luc Danger, Giorgio Di Natale, Fethulah Smailbegovic, Gerard van Battum, and Mark Tehranipoor. "Hacking and protecting IC hardware." In Design Automation and Test in Europe. IEEE Conference Publications, 2014. http://dx.doi.org/10.7873/date.2014.112.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Hamdioui, Said, Jean-Luc Danger, Giorgio Di Natale, Fethulah Smailbegovic, Gerard van Battum, and Mark Tehranipoor. "Hacking and protecting IC hardware." In Design Automation and Test in Europe. IEEE Conference Publications, 2014. http://dx.doi.org/10.7873/date2014.112.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Pompl, T., C. Schlunder, M. Hommel, H. Nielen, and J. Schneider. "Practical aspects of reliability analysis for IC designs." In 2006 Design Automation Conference. IEEE, 2006. http://dx.doi.org/10.1109/dac.2006.229193.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Luo, J., S. Sinha, Q. Su, J. Kawa, and C. Chiang. "An IC manufacturing yield model considering intra-die variations." In 2006 Design Automation Conference. IEEE, 2006. http://dx.doi.org/10.1109/dac.2006.229320.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Badstubner, Frank, and Andreas Vorg. "Quantitative Productivity Measurement in IC Design." In 2008 Design, Automation and Test in Europe. IEEE, 2008. http://dx.doi.org/10.1109/date.2008.4484794.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Liu, Xiaodong, Yifan Zhang, Gary Yeap, and Xuan Zeng. "An integrated algorithm for 3D-IC TSV assignment." In the 48th Design Automation Conference. ACM Press, 2011. http://dx.doi.org/10.1145/2024724.2024873.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Hsu, Meng-Kai, Yao-Wen Chang, and Valeriy Balabanov. "TSV-aware analytical placement for 3D IC designs." In the 48th Design Automation Conference. ACM Press, 2011. http://dx.doi.org/10.1145/2024724.2024875.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kuehlmann, Andreas, Raul Camposano, James Colgan, et al. "Does IC design have a future in the clouds?" In the 47th Design Automation Conference. ACM Press, 2010. http://dx.doi.org/10.1145/1837274.1837377.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Mao, Cheng-Chih. "Low-power IC design challenge." In 2015 International Symposium on VLSI Design, Automation and Test (VLSI-DAT). IEEE, 2015. http://dx.doi.org/10.1109/vlsi-dat.2015.7114563.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!