To see the other types of publications on this topic, follow the link: Integrated Circuit Fabrication.

Dissertations / Theses on the topic 'Integrated Circuit Fabrication'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Integrated Circuit Fabrication.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Rutherford, William C. "Gallium arsenide integrated circuit modeling, layout and fabrication." Thesis, University of British Columbia, 1987. http://hdl.handle.net/2429/26733.

Full text
Abstract:
The object of the work described in this thesis was to develop GaAs integrated circuit modeling techniques based on a modified version of SPICE 2, then layout, fabricate, model and test ion implanted GaAs MESFET integrated sample and hold circuits. A large signal GaAs MESFET model was used in SPICE to evaluate the relative performance of inverted common drain logic (ICDL) digital integrated circuits compared to other circuit configurations. The integrated sample and hold subsequently referred to as an integrated sampling amplifier block(ISAB), uses a MESFET switch with either one or two guard gates to suppress strobe feedthrough. Performance guidelines suggested by the project sponsor indicate an optimal switch sampling pulse width capability of 25 ps with 5 ps rise and fall time. Guard gates are included in the switch layout to evaluate pulse feedthrough minimization. The project sponsor suggested -20 dB pulse feedthrough isolation and minimum sampling switch off isolation of -20 dB at 10 GHz as project guidelines. Simulations indicate that a 0.5 µm gate length process approaches the suggested performance guidelines. A mask layout was designed and modeled including both selective implant and refractory self aligned gate processes. The refractory self aligned gate process plasma etched t-gate structure produces a sub 0.5 µm gate length.
Applied Science, Faculty of
Electrical and Computer Engineering, Department of
Graduate
APA, Harvard, Vancouver, ISO, and other styles
2

Fan, Wei Ph D. Massachusetts Institute of Technology. "Advanced modeling of planarization processes for integrated circuit fabrication." Thesis, Massachusetts Institute of Technology, 2012. http://hdl.handle.net/1721.1/78446.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2012.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 215-225).
Planarization processes are a key enabling technology for continued performance and density improvements in integrated circuits (ICs). Dielectric material planarization is widely used in front-end-of-line (FEOL) processing for device isolation and in back-end-of-line (BEOL) processing for interconnection. This thesis studies the physical mechanisms and variations in the planarization using chemical mechanical polishing (CMP). The major achievement and contribution of this work is a systematic methodology to physically model and characterize the non-uniformities in the CMP process. To characterize polishing mechanisms at different length scales, physical CMP models are developed in three levels: wafer-level, die-level and particle-level. The wafer-level model investigates the CMP tool effects on wafer-level pressure non-uniformity. The die-level model is developed to study chip-scale non-uniformity induced by layout pattern density dependence and CMP pad properties. The particle-level model focuses on the contact mechanism between pad asperities and the wafer. Two model integration approaches are proposed to connect wafer-level and particle-level models to the die-level model, so that CMP system impacts on die-level uniformity and feature size dependence are considered. The models are applied to characterize and simulate CMP processes by fitting polishing experiment data and extracting physical model parameters. A series of physical measurement approaches are developed to characterize CMP pad properties and verify physical model assumptions. Pad asperity modulus and characteristic asperity height are measured by nanoindentation and microprofilometry, respectively. Pad aging effect is investigated by comparing physical measurement results at different pad usage stages. Results show that in-situ conditioning keeps pad surface properties consistent to perform polishing up to 16 hours, even in the face of substantial pad wear during extended polishing. The CMP mechanisms identified from modeling and physical characterization are applied to explore an alternative polishing process, referred to as pad-in-a-bottle (PIB). A critical challenge related to applied pressure using pad-in-a-bottle polishing is predicted.
by Wei Fan.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
3

Buttar, Alistair George. "CMOS process simulation." Thesis, University of Edinburgh, 1986. http://hdl.handle.net/1842/13282.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Villalaz, Ricardo A. "Volume Grating Couplers for Optical Interconnects: Analysis, Design, Fabrication, and Testing." Diss., Available online, Georgia Institute of Technology, 2004:, 2004. http://etd.gatech.edu/theses/available/etd-07102004-165012/unrestricted/villalaz%5Fricardo%5Fa%5F200407%5Fphd.pdf.

Full text
Abstract:
Thesis (Ph. D.)--School of Electrical and Computer Engineering, Georgia Institute of Technology, 2005. Directed by Thomas Gaylord.
Glytsis, Elias, Committee Co-Chair ; Buck, John, Committee Member ; Kohl, Paul, Committee Member ; Adibi, Ali, Committee Member ; Gaylord, Thomas, Committee Chair. Vita. Includes bibliographical references.
APA, Harvard, Vancouver, ISO, and other styles
5

Chai, Yang. "Fabrication and characterization of carbon nanotubes for interconnect applications /." View abstract or full-text, 2009. http://library.ust.hk/cgi/db/thesis.pl?ECED%202009%20CHAI.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Broadfoot, Stephen. "Design, fabrication and testing of a novel W-band monolithic millimetre-wave integrated circuit mixer." Thesis, University of Glasgow, 1999. http://theses.gla.ac.uk/1741/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

La, Pietra Andrew R. "Establishing a bipolar fabrication service for analog circuit realization at the Rochester Institute of Technology /." Online version of thesis, 1991. http://hdl.handle.net/1850/11272.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Kamal, Tazrien. "Development of an integrated organic film removal and surface conditioning process using low molecular weight alcohols for advanced Integrated Circuit (IC) fabrication." Diss., Georgia Institute of Technology, 2000. http://hdl.handle.net/1853/11255.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kacker, Karan. "Design and fabrication of free-standing structures as off-chip interconnects for microsystems packaging." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/26464.

Full text
Abstract:
Thesis (Ph.D)--Mechanical Engineering, Georgia Institute of Technology, 2009.
Committee Chair: Dr. Suresh K. Sitaraman; Committee Member: Dr. F. Levent Degertekin; Committee Member: Dr. Ioannis Papapolymerou; Committee Member: Dr. Madhavan Swaminathan; Committee Member: Dr. Nazanin Bassiri-Gharb. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
10

Menezes, Gary. "Modeling, design, fabrication and characterization of glass package-to-PCB interconnections." Thesis, Georgia Institute of Technology, 2013. http://hdl.handle.net/1853/51781.

Full text
Abstract:
Emerging I/O density and bandwidth requirements are driving packages to low-CTE silicon, glass and organic substrates for higher wiring density and reliability of interconnections and Cu-low k dielectrics. These are needed for high performance applications as 2.5D packages in large-size, and also as ultra-thin packages for consumer applications that are directly assembled on the board without the need for an intermediate package. The trend to low-CTE packages (CTE of 3-8ppm/°C), however, creates large CTE mismatch with the board on which they are assembled. Interconnection reliability is, therefore, a major concern when low CTE interposers are surface mounted onto organic system boards via solder joints. This reliability concern is further aggravated with large package sizes and finer pitch. For wide acceptance of low CTE packages in high volume production, it is also critical to assemble them on board using standard Surface Mount Technologies (SMT) without the need for under-fill. This research aims to demonstrate reliable 400 micron pitch solder interconnections from low CTE glass interposers directly assembled onto organic boards by overcoming the above challenges using two approaches; 1) Stress-relief dielectric build up layers on the back of the interposer, 2) Polymer collar around the solder bumps for shear stress re-distribution. A comprehensive methodology based on modeling, design, test vehicle fabrication and characterization is employed to study and demonstrate the efficacy of these approaches in meeting the interposer-to-board interconnection requirements. The effect of varying geometrical and material properties of both build-up layers and polymer collar is studied through Finite Element Modeling. Interposers were designed and fabricated with the proposed approaches to demonstrate process feasibility.
APA, Harvard, Vancouver, ISO, and other styles
11

Padmanabhan, Ramalekshmi Thanu Dinesh. "USE OF DILUTE HYDROFLUORIC ACID AND DEEP EUTECTIC SOLVENT SYSTEMS FOR BACK END OF LINE CLEANING IN INTEGRATED CIRCUIT FABRICATION." Diss., The University of Arizona, 2011. http://hdl.handle.net/10150/202981.

Full text
Abstract:
Fabrication of current generation integrated circuits involves the creation of multilevel copper/low-k dielectric structures during the back end of line processing. This is done by plasma etching of low-k dielectric layers to form vias and trenches, and this process typically leaves behind polymer-like post etch residues (PER) containing copper oxides, copper fluorides and fluoro carbons, on underlying copper and sidewalls of low-k dielectrics. Effective removal of PER is crucial for achieving good adhesion and low contact resistance in the interconnect structure, and this is accomplished using wet cleaning and rinsing steps. Currently, the removal of PER is carried out using semi-aqueous fluoride based formulations. To reduce the environmental burden and meet the semiconductor industry's environmental health and safety requirements, there is a desire to completely eliminate solvents in the cleaning formulations and explore the use of organic solvent-free formulations.The main objective of this work is to investigate the selective removal of PER over copper and low-k (Coral and Black Diamond®) dielectrics using all-aqueous dilute HF (DHF) solutions and choline chloride (CC) - urea (U) based deep eutectic solvent (DES) system. Initial investigations were performed on plasma oxidized copper films. Copper oxide and copper fluoride based PER films representative of etch products were prepared by ashing g-line and deep UV photoresist films coated on copper in CF4/O2 plasma. PER removal process was characterized using scanning electron microscopy and X-ray photoelectron spectroscopy and verified using electrochemical impedance spectroscopy measurements.A PER removal rate of ~60 Å/min was obtained using a 0.2 vol% HF (pH 2.8). Deaeration of DHF solutions improved the selectivity of PER over Cu mainly due to reduced Cu removal rate. A PER/Cu selectivity of ~20:1 was observed in a 0.05 vol% deaerated HF (pH 3). DES systems containing 2:1 U/CC removed PER at a rate of ~10 and ~20 Å/min at 40 and 70oC respectively. A mixture of 10-90 vol% de-ionized water (W) with 2:1 U/CC in the temperature range of 20 to 40oC also effectively removed PER. Importantly, etch rate of copper and low-k dielectric in DES formulations were lower than that in conventional DHF cleaning solutions.
APA, Harvard, Vancouver, ISO, and other styles
12

Leroy, Benjamin. "Etude et développement d'un système de signalisation holographique." Thesis, Université Paris-Saclay (ComUE), 2018. http://www.theses.fr/2018SACLS126.

Full text
Abstract:
Les travaux de cette thèse ont porté sur la conception et la réalisation d'un dispositif d'éclairage surfacique à géométrie planaire à base de structures plasmoniques, pour un fonctionnement à 633nm. Ce dispositif sera capable de convertir une lumière incidente cohérente en un faisceau de sortie uniforme sur la surface du dispositif, collimaté et avec un angle prédéfini par rapport au plan du dispositif. Pour réaliser ce dispositif, la solution envisagée est l'utilisation d'un réseau de guides d'onde diélectriques pour répartir la lumière sur la surface, et de chaînes de nano-structures d'argent couplées aux guides, dimensionnées comme des antennes pour réémettre la lumière hors du plan.Les travaux réalisés ont mis en évidence le contrôle du couplage entre le guide d'onde et la chaine de nano-structures d'argent, modulable par plusieurs paramètres dans une gamme comprise entre 10% et 90 % : nombre de particules, dimensions des particules, distance entre le guide et les particules. En jouant sur la période de la chaine, il est possible d'obtenir un rayonnement hors-plan, avec un angle déterminé par la formule des réseaux de diffraction. Des émetteurs élémentaires, composés d’un guide et de chaines de particules, ont été fabriquées en salle blanche et caractérisés sur un banc d’optique guidée à l'aide d'un montage de projection dans le plan de Fourier. Les diagrammes de rayonnement expérimentaux sont en accord avec les simulations. De premiers résultats ont également confirmé expérimentalement la possibilité de moduler le couplage guide-chaine en modifiant les dimensions des particules. Enfin le réseau de guides d'onde a été dimensionné pour une surface d'1 cm² et fabriqué en lithographie par projection. Les pertes linéiques mesurées dans les guides d'onde sont de l'ordre de 5 dB/mm. Plusieurs optimisations peuvent être réalisées pour améliorer la qualité des guides. A partir des données expérimentales obtenues et des simulations de propagation de faisceau, une configuration réaliste de dispositif d’éclairage incluant le nombre et le positionnement des émetteurs sur le réseau de guides a été proposée. L’ensemble des travaux réalisés valident l’approche choisie
This work has focused on the design and realization of a planar lighting device based on plasmonic structures, for a 633nm operation. This device will be able to convert a coherent incident light into a uniform output beam over the surface of the device, collimated and with a predefined angle with respect to the plane of the device. To achieve this feature, the proposed solution is the use of an array of dielectric waveguides to distribute the light over the surface, and silver nanostructures chains coupled to the waveguides and dimensioned as antennas to retransmit the light out of the plane. The work carried out has highlighted the control of the coupling between the waveguide and the silver nanostructures chain, modulated by several parameters in a range between 10% and 90%: the number of particles, particle size, distance between the guide and the particles. By playing on the period of the chain, it is possible to obtain an out-of-plane radiation, with an angle determined by the diffraction gratings formula. Elementary emitters, consisting of a guide and particle chains, were manufactured in a clean room and characterized on a guided wave optical bench with Fourier plane projection set-up. The experimental radiation patterns are in agreement with the simulations one. First results have also experimentally confirmed the possibility of modulating the waveguide-chain coupling by modifying the dimensions of the particles. Finally, the waveguide network has been dimensioned for an 1 cm² surface and manufactured with projection lithography. The linear losses measured in the waveguides are of the order of 5 dB / mm. Several optimizations can be made to improve the quality of the guides. From the experimental data obtained and the beam propagation simulations, a realistic configuration of the lighting device including the number and positioning of the transmitters on the waveguide network has been proposed. All the works carried out validate the chosen approach
APA, Harvard, Vancouver, ISO, and other styles
13

Joshi, Siddharth. "Quantum dash based photonic integrated circuits for optical telecommunications." Thesis, Evry, Institut national des télécommunications, 2014. http://www.theses.fr/2014TELE0031/document.

Full text
Abstract:
Ce travail de thèse présente une étude sur les propriétés de nanostructures de type bâtonnets quantiques et de leur application pour les télécommunications optiques. Durant la dernière décennie, ces nanostructures, ont démontré des propriétés optiques et électroniques intéressantes en raison notamment d’un fort confinement quantique dans les trois dimensions d'espace. Cette thèse porte sur la conception et la fabrication d'émetteurs optiques intégrés à base de ce matériau et de leur implémentation dans des systèmes de communication. La première partie de ce travail analyse les propriétés de ces nanostructures, théorique et expérimentale. Elles sont utilisées comme matériau actif de lasers modulés directement en amplitude. Les propriétés dynamiques de ces lasers sont ensuite évaluées et des transmissions sur fibre optique entre 0 et 100 km sont ensuite démontrées en utilisant un filtre étalon permettant d’augmenter en particulier le taux d’extinction dynamique. En s’appuyant sur cette démonstration basée sur des éléments discrets, une version monolithique intégrant un laser et un résonateur en anneaux a été réalisée. La dernière partie de ce travail porte sur des lasers à blocage de mode à base de ce matériau et en particulier sur les méthodes d’intégration sur substrat InP. En particulier, un design de miroir de Bragg innovant a été développé à cet effet et une démonstration d'un laser a blocage de mode intégré avec un amplificateur optique à semi-conducteur a finalement été réalisée
This PhD dissertation presents a study on the properties of the novel quantum dash nanostructures and their properties for application in optical telecommunications. Over the last decade, scientific community has gained considerable interest over these nanostructures and several demonstrations have been made on their interesting optical and electronic properties, notably owing to their strong quantum confinement. This dissertation focuses on conception, fabrication and system demonstration of integrated optical transmitters based on quantum dash material. A first part of this work analyses the properties of qdashes theoretically and experimentally for their use as an active material in directly modulated lasers. The dynamic properties of this material are then evaluated leading to an optical transmission distances in range of 0-100km under direct modulation. The transmission is particularly studied with a passive optical filter to enhance the dynamic extinction ratio, the use of such passive filters is studied in detail. An innovative and fully integrated optical transmitter is finally demonstrated by integrating a ring-resonator filter to a distributed feedback laser. The second part of this work focuses on mode locked lasers based on this material and in particular the methods of integration of such devices on InP are explored. Thus an innovative Bragg mirror design is developed leading to a mode locked laser integrated with a semiconductor optical amplifier
APA, Harvard, Vancouver, ISO, and other styles
14

Taubert, Jenny. "Use of Formulations Based On Choline Chloride-Malonic Acid Deep Eutectic Solvent for Back End of Line Cleaning in Integrated Circuit Fabrication." Diss., The University of Arizona, 2013. http://hdl.handle.net/10150/283692.

Full text
Abstract:
Interconnection layers fabricated during back end of line processing in semiconductor manufacturing involve dry etching of a low-k material and deposition of copper and metal barriers to create copper/dielectric stacks. After plasma etching steps used to form the trenches and vias in the dielectric, post etch residues (PER) that consist of organic polymer, metal oxides and fluorides, form on top of copper and low-k dielectric sidewalls. Currently, most semiconductor companies use semi aqueous fluoride (SAF) based formulations containing organic solvent(s) for PER removal. Unfortunately, these formulations adversely impact the environmental health and safety (EHS) requirements of the semiconductor industry. Environmentally friendly "green" formulations, free of organic solvents, are preferred as alternatives to remove PER. In this work, a novel low temperature molten salt system, referred as deep eutectic solvent (DES) has been explored as a back end of line cleaning (BEOL) formulation. Specifically, the DES system comprised of two benign chemicals, malonic acid (MA) and choline chloride (CC), is a liquid at room temperature. In certain cases, the formulation was modified by the addition of glacial acetic acid (HAc). Using these formulations, selective removal of three types of PER generated by timed CF₄/O₂ etching of DUV PR films on Cu was achieved. Type I PER was mostly organic in character (fluorocarbon polymer type) and had a measured thickness of 160 nm. Type II PER was much thinner (25 nm) and consisted of a mixture of organic and inorganic compounds (copper fluorides). Further etching generated 17 nm thick Type III PER composed of copper fluorides and oxides. Experiments were also conducted on patterned structures. Cleaning was performed by immersing samples in a temperature controlled (30 or 40° C) double jacketed vessel for a time between 1 and 5 minutes. Effectiveness of cleaning was characterized using SEM, XPS and single frequency impedance measurements. Type II and III residues, which contained copper compounds were removed in CC/MA DES within five minutes through dissolution and subsequent complexation of copper by malonic acid. Removal of Type I PER required the addition of glacial acetic acid to the DES formulation. Single frequency impedance measurement appears to be a good in situ method to follow the removal of the residues. High water solubility of the components of the system in conjunction with their environmental friendly nature, make the DES an attractive alternative to SAF.
APA, Harvard, Vancouver, ISO, and other styles
15

Kadri, Mohammed. "Formation à basse température et nouvelles techniques de caractérisations [sic] du disiliciure de tungstène WSi2." Grenoble 1, 1987. http://www.theses.fr/1987GRE10053.

Full text
Abstract:
Le disiliciure wsi::(2) pour la metallisation d'un circuit vlsi (contacts et lignes d'interconnexion) est forme a une temperature aussi basse que possible en utilisant la structure "sandwich" a-si: h(150 a)/w(110 a)/a-si: h(540 a)/c-si implantee par des ions a faible courant et a temperature ambiante. La concentration residuelle d'oxygene dans les couches de w et de a-si:h et a leur interface a une influence decisive sur la formation et la resistivite de wsi::(2). Les plus faibles temperatures de formation de wsi::(2) atteintes sont les plus basses, 550**(o)c apres recuit, 350**(o)c apres implantation de w puis recuit. Les resistivites sont aussi plus faible. Interet et sensibilite de la spectroscopie ir dans la caracterisation des impuretes
APA, Harvard, Vancouver, ISO, and other styles
16

Anagnosti, Maria. "Design and fabrication of a photonic integrated circuit comprising a semi-conductor optical amplifier and a high speed photodiode (SOA-UTC) for >100 Gbit/s applications." Thesis, Evry, Institut national des télécommunications, 2015. http://www.theses.fr/2015TELE0022.

Full text
Abstract:
Ce travail porte sur la conception, la fabrication et la caractérisation d’une photodiode très haut débit (UTC PD) et son intégration avec un préamplificateur optique à semi-conducteur (SOA) pour les liaisons optiques à courte distance à 100 Gbit/s en bandes C et O. Il porte également sur la conception d'un duplexeur (Tx / Rx) avec liaison montante en bande C et liaison descendante en bande O. L'intégration monolithique d’un SOA avec une photodiode haut débit sans filtre optique entre les deux présente des avantages majeurs parmi lesquels: - Augmentation de la distance de transmission. - Augmentation du nombre d'utilisateurs connectés. - Diminution des coûts globaux de fabrication incluant l’assemblage. La première partie de cette étude porte sur l'optimisation SOA pour un fonctionnement à forte puissance (Psat). Un faible facteur de bruit (NF) et une faible dépendance à la polarisation (PDL) sont requis pour les récepteurs préamplifiés. De plus, un fonctionnement du et opérer en régime linéaire est nécessaire pour les schémas de modulation complexes. Le SOA actuel possède un gain de 18 dB avec un facteur de bruit de 8 dB, une faible PDL (<2 dB), et une bonne puissance de saturation en entrée (-8 dBm). Grâce à l’optimisation de la structure verticale du SOA et de son couplage avec la fibre les performances attendues sont améliores : Psat >-5 dBm, NF <8 dB, PDL et gain similaire. D'autre part, les interconnexions électriques de la photodiode ont été optimisées ce qui a permis de démontrer des photodiodes avec une bande passante supérieure à 100 GHz. Les photodiodes présentent un fort coefficient de réponse (R) (0,6 A/W à 1,3 μm et 0,55 A/W à 1,55 μm) et une faible PDL <1 dB. Un fort courant de saturation de 14 mA à 100 GHz a aussi été démonté. Enfin, la caractérisation des SOA-UTC réalisés a montré simultanément une très forte responsivité (95 A/W), une faible dépendance à la polarisation PDL (<2 dB), un faible NF (8 dB) et une large bande passante à 3 dB (> 95 GHz), qui placent nos composants au meilleur niveau de l’état de l’art avec un produit gain-bande record de 6,1 THz. Les Mesures numériques à 64 Gbit/s montrent que notre récepteur atteint une sensibilité de -17 dBm pour un taux d'erreur de 10-9, et la sensibilité attendue à 100 Gbit/s est de -14 dBm
This work focuses on the design, fabrication and measurements of a uni-travelling carrier high speed photodiode (UTC PD) and its integration with a semiconductor optical preamplifier (SOA) for short reach 100 Gbit/s optical links, in O- and C- bands. This work also focuses on the design of a duplexer (Tx/Rx) with downstream in O-band and upstream in C-band. The SOA monolithic integration with a high speed PD without an optical filter in between yields major benefits among which: - Increase in the transmission distance. - Increase in the split ratio correlated to the number of connected users. - Decrease of the overall fabrication and assembling cost. The first part of this work is dedicated to optimizing the SOA for high power operation (Psat). The low noise figure (NF), and polarization dependence loss (PDL) are critical parameters for a preamplified receiver. Also complex modulation formats require linear gain regime of the SOA. The current SOA presents 18 dB gain with NF (8 dB), low PDL (<2 dB), and good input power saturation (-8 dBm). Thanks to further optimization of the SOA vertical structure and coupling with the optical fiber, the expected SOA performance is higher Psat >-5 dBm, NF <8 dB, similar PDL and gain. Secondly, the electrical interconnects of the photodiode is optimized to increase the photodiodes’ bandwidth, which allows to demonstrate photodiode with >100 GHz bandwidth. The PD presents high responsivity (R) (0,6 A/W at 1,3 μm and 0.55 A/W at 1,55 μm) and low PDL <1 dB. Also the saturation photocurrent is high (14 mA at 100 GHz). Finally, the SOA-UTC demonstrates high responsivity (95 A/W), low PDL (<2 dB), low NF (8 dB) and a wide 3 dB bandwidth (>95 GHz), which yields a record gain-bandwidth product of 6.1 THz. Large signal measurements at 64 Gbit/s show that our receiver reaches a low sensitivity of -17 dBm for a bit error rate of 10-9, and is expected to reach -14 dBm at 100 Gbit/s
APA, Harvard, Vancouver, ISO, and other styles
17

Sekkaki, Noureddine. "Etude theorique et experimentale de la nanolithographie par electrons." Toulouse 3, 1987. http://www.theses.fr/1987TOU30147.

Full text
Abstract:
Dans le cadre de ce memoire nous avons etudie sur le plan theorique l'influence de la tension acceleratrice des electrons incidents sur les dimensions des traces obtenus. En s'appuyant sur des resultats obtenus anterieurement nous avons simule pour une methode de monte-carlo, les trajectoires des particules incidents. Nous avons pu ainsi localiser l'energie deposee par les electrons incidents les electrons retrodiffuses par le substrat et les electrons secondaires. L'ensemble des resultats montre l'interet theorique presente par l'emploi de tensions plus elevees (100 kev) que celles habituellement utilisees en microlithographie (20 a 50 kev). Afin de preciser les differents mecanismes intervenant dans la modification de la resine nous avons etudie a l'aide d'un analyseur de pertes d'energie des electrons l'evolution au cours de l'irradiation du spectre obtenu. Les performances obtenues sont illustrees par differentes gravures representant le trace de motifs realises dans une couche de pmma de 0,5 micron d'epaisseur, avec des lignes de 0,4 micron de large
APA, Harvard, Vancouver, ISO, and other styles
18

Lou, Fei. "Design, fabrication and characterization of plasmonic components based on silicon nanowire platform." Doctoral thesis, KTH, Optik och Fotonik, OFO, 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-143953.

Full text
Abstract:
Optical interconnects based on CMOS compatible photonic integrated circuits are regarded as a promising technique to tackle the issues traditional electronics faces, such as limited bandwidth, latency, vast energy consumption and so on. In recent years, plasmonic integrated components have gained great attentions due to the properties of nano-scale confinement, which may potentially bridge the size mismatch between photonic and electronic circuits. Based on silicon nanowire platform, this thesis work studies the design, fabrication and characterization of several integrated plasmonic components, aiming to combine the benefits of Si and plasmonics. The basic theories of surface plasmon polaritons are introduced in the beginning, where we explain the physics behind the diffraction-free confinement. Numerical methods frequently used in the thesis including finite-difference time-domain method and finite-element method are then reviewed. We summarize the device fabrication techniques such as film depositions, e-beam lithography and inductively coupled plasma etching as well as characterization methods, such as direct measurement method, butt coupling, grating coupling etc. Fabrication results of an optically tunable silicon-on-insulator microdisk and III-V cavities in applications as light sources for future nanophotonics interconnects are briefly discussed. Afterwards we present in details the experimental demonstrations and novel design of plasmonic components. Hybrid plasmonic waveguides and directional couplers with various splitting ratios are firstly experimentally demonstrated. The coupling length of two 170 nm wide waveguides with a separation of 140 nm is only 1.55 µm. Secondly, an ultracompact polarization beam splitter with a footprint of 2×5.1 μm2 is proposed. The device features an extinction ratio of 12 dB and an insertion loss below 1.5 dB in the entire C-band. Thirdly, we show that plasmonics offer decreased bending losses and enhanced Purcell factor for submicron bends. Novel hybrid plasmonic disk, ring and donut resonators with radii of ~ 0.5 μm and 1 μm are experimentally demonstrated for the first time. The Q-factor of disks with 0.5 μm radii are                         , corresponding to Purcell factors of . Thermal tuning is also presented. Fourthly, we propose a design of electro-optic polymer modulator based on plasmonic microring. The figure of merit characterizing modulation efficiency is 6 times better comparing with corresponding silicon slot polymer modulator. The device exhibits an insertion loss below 1 dB and a power consumption of 5 fJ/bit at 100 GHz. At last, we propose a tightly-confined waveguide and show that the radius of disk resonators based on the proposed waveguide can be shrunk below 60 nm, which may be used to pursue a strong light-matter interaction. The presented here novel components confirm that hybrid plasmonic structures can play an important role in future inter- and intra-core computer communication systems.

QC 20140404

APA, Harvard, Vancouver, ISO, and other styles
19

Paret, Jean-Marc. "Étude et mise au point de la méthodologie de conception et de fabrication collective de microsystèmes sur silicium." Grenoble INPG, 1997. http://www.theses.fr/1997INPG0015.

Full text
Abstract:
La notion de microsysteme est nee avec la microelectronique, mais n'a jamais connu le meme essor. Les raisons de cette difference de developpement sont nombreuses : multiplicite des domaines a maitriser, complexite des circuits de traitement du signal necessaires, et surtout manque de procedes de fabrication standards. Jusqu'a nos jours, pour presque chaque circuit microsysteme concu puis realise, un procede de fabrication specifique a du etre developpe en laboratoire. En outre, en raison du manque de marche apparent pour ce type de composant, rares sont les applications qui ont pu etre industrialisees, les investissements necessaires etant trop importants. Les microsystemes sont donc, depuis leur naissance, restes des sujets de recherche en laboratoires, et n'ont pu connaitre de phase d'industrialisation. Le but de mon travail de recherche a ete l'utilisation des capacites et des competences offertes par l'industrie de la microelectronique (technologies de fabrication, outils et methodes de conception, de simulation et de test), pour concevoir et realiser des circuits microsystemes monolithiques. Grace au procede de gravure anisotropique du silicium monocristallin, il est possible d'obtenir des elements suspendus sur des micro-cavites a partir de puces fabriquees avec des technologies vlsi. Ces elements peuvent etre utilises pour realiser des fonctions micro-electro-mecaniques. Ce procede de gravure a ete etudie et caracterise, et des regles de dessin pour la conception et la fabrication de structures suspendues ont ete etablies. Un environnement de conception assistee par ordinateur, base sur le logiciel cadence, a de plus ete developpe, afin de rendre ce procede de fabrication accessible a tous les concepteurs.
APA, Harvard, Vancouver, ISO, and other styles
20

Welter, Loïc. "Contribution à l'amélioration de l'observabilité et de la reproductibilité des défauts dans les dispositifs semi-conducteurs." Thesis, Aix-Marseille, 2014. http://www.theses.fr/2014AIXM4776.

Full text
Abstract:
Les défauts récurrents apparaissant dans des contextes particuliers ont un impact non négligeable sur le rendement, lors de la fabrication des noeuds technologiques nanométriques. C'est pourquoi une nouvelle méthode de contrôle du procédé de fabrication in-situ est développée, en vue d'améliorer la performance globale de l'outil de production. Elle se veut complémentaire des techniques d'analyses de défaillance classique, notamment en cas de crise. Le principe est de transformer un circuit de production en un véhicule de test en réutilisant des éléments qui le composent. Le circuit perd alors sa fonctionnalité originale au profit de fonctions de contrôle du procédé de fabrication réalisées uniquement à base de cellules logiques disponibles en grand nombre dans les circuits. Cette transformation, baptisée «échange topologique», implique la modification de certains niveaux de métallisation et nécessite la création d'un flot de conception particulier, basé sur des techniques d'Engineering Change Order (ECO). Comme plusieurs fonctions doivent pouvoir cohabiter ensemble sur un même véhicule, un système de multiplexage a été évalué. La faisabilité est montrée au travers d'un circuit de test réalisé de manière analogue à un circuit en production. Il est transformé pour l'exemple en un système intégré de contrôle d'épaisseur de diélectrique
Recurrent defects appearing in specific contexts have a significant impact on nanoscale technology nodes manufacturing process yield. Therefore, a new in-situ process monitoring method is developed to improve the overall performance of the production tool. It is complementary to classical failure analysis techniques, especially when a yield crisis occurs. The idea is to transform a production circuit into a test vehicle by reusing its components. The circuit loses its original functionality in favor of process monitoring functions, carried out only with standard cells widely available in circuits. This transformation, called "topological exchange" involves modifying some levels of metallization and requires the creation of a particular design flow, based on Engineering Change Order (ECO) techniques. As several functions must be able to cohabit on the same vehicle, a multiplexing system is evaluated. Feasibility is shown through a test circuit designed analogously to a production circuit. It is transformed for the example into an integrated dielectric thickness control system
APA, Harvard, Vancouver, ISO, and other styles
21

Lee, Man. "Design, fabrication and characterization of an integrated micro heat pipe system /." View Abstract or Full-Text, 2002. http://library.ust.hk/cgi/db/thesis.pl?MECH%202002%20LEE.

Full text
Abstract:
Thesis (M. Phil.)--Hong Kong University of Science and Technology, 2002.
Includes bibliographical references (leaves 74-77). Also available in electronic version. Access restricted to campus users.
APA, Harvard, Vancouver, ISO, and other styles
22

Dandache, Abbas. "Conception de PLA CMOS." Phd thesis, Grenoble 2 : ANRT, 1986. http://catalogue.bnf.fr/ark:/12148/cb37596962j.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Morgenroth, Laurence. "Étude de la gravure profonde de l'oxyde de silicium dans un réacteur haute-densité micro-onde de type propagatif." Université Joseph Fourier (Grenoble ; 1971-2015), 1994. http://www.theses.fr/1994GRE10079.

Full text
Abstract:
Nous avons etudie l'une des etapes courantes de la fabrication des circuits integres, c'est-a-dire la gravure de l'oxyde de silicium. Afin de controler la temperature du substrat sous plasma, nous avons introduit entre le substrat et l'electrode refroidie a l'azote liquide un systeme de clampage electrostatique. Nous avons etudie differents materiaux et experimente la maniere de les assembler afin que l'ensemble resiste a des variations de temperature de l'ordre de 200 degres. Les connecteurs amenant la haute-tension au travers de l'electrode r. F. Ont ete fiabilises. L'ensemble a ete integre dans un reacteur prototype surfaguide, et son efficacite comparee a celle du clampage mecanique. Ce reacteur de haute densite couple une decharge micro-onde a un plasma r. F. Qui permet de separer la production des ions de leur energie. Pour des raisons de disponibilite nous avons conserve un systeme de clampage mecanique pour mener une etude de procede de gravure de sio#2, avec differents masques, en resine ou metalliques. L'utilisation de chimies c#2f#6, chf#3/o#2 ou cf#4, et de masques de resine monocouche, ne permet pas d'obtenir une selectivite superieure a 1. Le meilleur compromis vitesse, selectivite et anisotropie, a ete obtenu avec un masque de tungstene, soit une anisotropie superieure a 0,9 pour une profondeur gravee de 10 microns. Pour des epaisseurs superieures, il faudra utiliser des masques d'aluminium, assurant une selectivite presque infinie, avec une chimie non-polymerisante et une faible energie ionique
APA, Harvard, Vancouver, ISO, and other styles
24

Dindo, Salam. "GaAs material investigation for integrated circuits fabrication." Thesis, University of British Columbia, 1985. http://hdl.handle.net/2429/25089.

Full text
Abstract:
The primary objective of the work described in this thesis was to study the influence of undoped LEC GaAs substrate material from various suppliers on the performance of ion implanted and annealed active layers. Optical transient current spectroscopy (OTCS) was investigated as a qualification test for GaAs substrates. Deep level spectra of the substrates before ion implantation were obtained. It was found that while the OTCS spectra of high pressure grown GaAs from two suppliers were similar, that of the low pressure material showed different relative concentration of traps. The use of OTCS was further extended to study trap concentration as a function of surface treatment. It was found that the use of chemical etchants reduces the concentration of some levels, possibly those located on the surface as opposed to bulk traps. Surface damage was found to enhance the negative peak in the OTCS spectrum. The deep levels spectra were found to be affected by the geometry of the device and the type of electrode material. Channel current deep level transient spectroscopy (DLTS) was used to study both process- and substrate-induced deep levels in ion implanted MESFET channels. The spectra of process-induced traps were found to be different according to the encapsulant used. Silicon dioxide (both RF sputtered and plasma enhanced chemically vapor deposited (PECVD)) was found to induce a variety of process related defects. This is believed to be because silicon dioxide is permeable to gallium and hence does not preserve the stoichiometry of ion implanted GaAs during high temperature anneals. Deep level spectra of MESFETs annealed using silicon nitride, on the other hand, were found to contain single traps related to the defects in the starting material. For implants through silicon nitride, a high concentration of the main electron trap EL2 was found, whereas implants directly into the surface resulted in the level EL12. Comparison of the characteristics of the variety of LEC undoped GaAs material show that they differed widely and had inhomogeneous properties. For example, compared to the high pressure grown GaAs, the Litton’s low pressure substrate had lower activation, mobility, drain current and threshold voltage, good confinement of the scatter in the same characteristics, low concentration of deep levels, and the least backgating effect which makes it promising for IC fabrication. Comparison of the high pressure grown material from two suppliers showed that Cominco's recent material had good mobility, activation, relatively high scatter of threshold voltage, high concentration of deep levels, and was affected by backgating. In comparison, Sumitomo's material showed thermal instability, less scatter of threshold voltage, less mobility and deep level concentrations, and similar backgating characteristics. Substrate grown three years earlier showed higher diffusion of dopant, different deep levels, and better backgating characteristics. Finally, a substrate which had failed the qualification test by a device manufacturer showed minimal diffusion tails and threshold voltage scatter, the highest concentration of deep levels, and substantial backgating.
Applied Science, Faculty of
Electrical and Computer Engineering, Department of
Graduate
APA, Harvard, Vancouver, ISO, and other styles
25

Wang, Jun. "Physical design with fabrication : friendly layout /." View the Table of Contents & Abstract, 2004. http://sunzi.lib.hku.hk/hkuto/record/B30575643.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Osseiran, Adam. "Définition, étude et conception d'un microprocesseur autotestable spécifique : cobra." Grenoble INPG, 1986. http://tel.archives-ouvertes.fr/tel-00320884.

Full text
Abstract:
Description des différentes étapes de la conception d'un microprocesseur pour le contrôle des automatismes de sécurité, en particulier pour les systèmes de transport. Ce microprocesseur est autotestable, c'est-à-dire capable de détecter ses propres erreurs. La conception du circuit est basée sur les hypothèses de pannes au niveau analytique dans la technologie NMOS. Les blocs fonctionnels «Strongly Fault Secure» et les contrôleurs «Strongly Code Disjoint» sont à la base des circuits «Self-checking», dits autotestables. Le circuit COBRA démontre la faisabilité d'un microprocesseur autotestable. COBRA gère indépendamment 19 signaux différents, date des événements externes, mesure des fréquences, surveille 14 entrées logiques et possède 7 sorties indépendantes. Le programme d'application de COBRA est contenu dans une mémoire morte programmable externe de 16 Koctets adressés par 14 bits multiplexés sur le bus interne de 8 bits. COBRA contient également une liaison série, une mémoire à accès direct de 64 octets et 3 temporisateurs de 14 bits indépendants ainsi qu'une unité arithmétique et logique de 8 bits, COBRA exécute un jeu de 43 instructions
APA, Harvard, Vancouver, ISO, and other styles
27

Kim, Taehoon. "Design, fabrication, and analysis of enhanced mobility silicon germanium transistors." Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3034553.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Rajgopal, Srihari. "FABRICATION AND CHARACTERIZATION OF 4H-SiC JFET-BASED INTEGRATED CIRCUITS." Case Western Reserve University School of Graduate Studies / OhioLINK, 2019. http://rave.ohiolink.edu/etdc/view?acc_num=case154350167704502.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Kwon, Jimmy Y. (Jimmy Yongil). "Remote fabrication of integrated circuits : software support for the M.I.T. computer aided fabrication environment." Thesis, Massachusetts Institute of Technology, 1995. http://hdl.handle.net/1721.1/37794.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Wang, Jun, and 王雋. "Physical design with fabrication: friendly layout." Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2004. http://hub.hku.hk/bib/B45015119.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Al, Mamun Nazmul Huda. "Fabrication of a microchip device for liquid phase ion mobility spectrometry." Online access for everyone, 2006. http://www.dissertations.wsu.edu/Thesis/Fall2006/n_al_mamun_081706.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Messedi, Mounir. "Automatisation d'un spectromètre de masse : application à l'analyse des gaz résiduels d'une capsule contenant une puce AsGa." Rouen, 1986. http://www.theses.fr/1986ROUES049.

Full text
Abstract:
La spectrométrie de masse appliquée à la détection des gaz légers (1 à 100 u. M. A) est particulièrement bien adaptée à la recherche de la composition des gaz contenus à l'intérieur d'un boîtier de faible volume. Une étude systématique a été entreprise pour évaluer la qualité de l'étanchéité et les produits éventuels de dégazage des résines de scellement. L'automatisation de l'analyseur a permis de réduire de façon sensible la durée d'acquisition d'un spectre et de minimiser les phénomènes d'adsorption des parois de la chambre d'analyse. Un ensemble d'analyse performant bien adapté à la configuration des boîtiers a été réalisé et procure ainsi, lors du perçage, une meilleure sensibilité relative pour chaque gaz. La résolution en concentration obtenue est de 20 p. P. M. Ce système contribue à l'analyse des défaillances et au suivi des procédés de fabrication
APA, Harvard, Vancouver, ISO, and other styles
33

Montanari, Simone. "Fabrication and characterization of planar Gunn diodes for Monolithic Microwave Integrated Circuits /." Jülich : Forschungszentrum Jülich, 2005. http://www.loc.gov/catdir/toc/fy0610/2006364266.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Rodriguez, Sarah J. (Sarah Janelle) 1979. "Towards photonic integrated circuits : design and fabrication of passive InP waveguide bends." Thesis, Massachusetts Institute of Technology, 2004. http://hdl.handle.net/1721.1/18055.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2004.
Includes bibliographical references (leaf 69).
Waveguide bends, in the (In,Ga)(As,P) material system, have been simulated, fabricated and tested. A process is developed for waveguides of 1 [micro]m through 7[micro]m widths. Waveguides containing S-bends of varying bending radii as well as resonator bends were examined. Inconsistent measurement results were obtained. Improved measurement methods have been suggested.
by Sarah J. Rodriguez.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
35

Anderson, Troy P. "Fabrication of integrated optofluidic circuits in chalcogenide glass using femtosecond laser direct writing." Doctoral diss., University of Central Florida, 2010. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/4579.

Full text
Abstract:
Femtosecond laser direct writing (FLDW) is a versatile process that uses focused femtosecond pulses to modify the physical structure of a material, which can result in a shift of optical properties such as the linear and nonlinear refractive index. If the photon energy of the femtosecond pulses lies below the material bandgap, nonlinear absorption rather than linear absorption becomes the dominant mechanism of energy transfer to the material. In this manner, a focused femtosecond pulse train can be used to fabricate functional features such as optical waveguides, diffractive optical elements, or micro-fluidic elements within the volume of a transparent medium. In this dissertation, the utility of femtosecond laser processing as a fabrication technique of optical and micro-fluidic elements in chalcogenide glasses is explored. The photo-induced modifications of optical and chemical parameters of new germanium-based Chalcogenide glasses in both bulk and thin-film form are characterized for the first time and the impact of material composition and laser fabrication parameters are discussed. The glasses are found to display an increase in volume, a decrease of the linear optical refractive index, and an increase of the nonlinear refractive index when exposed to femtosecond laser pulses. A model based on avalanche ionization and multi-photon ionization is used to describe the highly nonlinear absorption of laser light in the material and correlate the photo-induced modifications to the electron density generated during irradiation. The magnitude of the induced photo-modification is shown to be dependent on laser parameters such as laser dose and repetition rate. The fabrication of microfluidic elements through both direct ablation and the preferential etching of photo-modified regions is also explored. Finally, the integration of both optical elements and fluidic elements fabricated by FLDW into a single substrate is discussed.
ID: 028916651; System requirements: World Wide Web browser and PDF reader.; Mode of access: World Wide Web.; Thesis (Ph.D.)--University of Central Florida, 2010.; Includes bibliographical references (p. 181-194).
Ph.D.
Doctorate
Optics
APA, Harvard, Vancouver, ISO, and other styles
36

Manook, Rhoda Margaret. "Fabrication and stability in SOI membrane technology with respect to power integrated circuits." Thesis, University of Cambridge, 2007. https://www.repository.cam.ac.uk/handle/1810/252044.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Zim, Bret E. "Improved Fabrication and Quality Control of Substrate Integrated Microelectrode Arrays." Thesis, University of North Texas, 2000. https://digital.library.unt.edu/ark:/67531/metadc2484/.

Full text
Abstract:
Spontaneously active monolayer neuronal networks cultured on photoetched multimicroelectrode plates (MMEPs) offer great potential for use in studying neuronal networks. However, there are many problems associated with frequent, long-term use of MMEPs. The major problems include (1) polysiloxane insulation deterioration and breakdown, (2) and loss of gold at the gold electroplated indium-tin oxide (ITO) electrodes. The objective of this investigation was to correct these major problems. Quality control measures were employed to monitor MMEP fabrication variables. The phenotypes of polysiloxane degradation were identified and classified. Factors that were found to contribute most to insulation deterioration were (1) moisture contamination during MMEP insulation, (2) loss of the quartz barrier layer from excessive exposure to basic solutions, and (3) repetitive use in culture. As a result, the insulation equipment and methods were modified to control moisture-dependent insulation deterioration, and the KOH reprocessing solution was replaced with tetramethylguanidine to prevent damage to the quartz. The problems associated with gold electroplating were solved via the addition of a pulsed-DC application of gold in a new citrate buffered electroplating solution.
APA, Harvard, Vancouver, ISO, and other styles
38

Tsui, Yat Kit. "Design and fabrication of a flip-chip-on-chip multi-chip module with 3D packaging structure and through-silicon-via for underfill dispensing /." View abstract or full-text, 2004. http://library.ust.hk/cgi/db/thesis.pl?MECH%202004%20TSUI.

Full text
Abstract:
Thesis (M. Phil.)--Hong Kong University of Science and Technology, 2004.
Includes bibliographical references (leaves 116-127). Also available in electronic version. Access restricted to campus users.
APA, Harvard, Vancouver, ISO, and other styles
39

Chung, Chih-Ping. "Setting CMOS environment for VLSI design." Ohio : Ohio University, 1989. http://www.ohiolink.edu/etd/view.cgi?ohiou1182433560.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Bringer, Yves. "Performances de nouvelles architectures machines pour la mise en oeuvre d'algorithmes de traitement et d'analyse d'image." Saint-Etienne, 1993. http://www.theses.fr/1993STET4024.

Full text
Abstract:
Une carte électronique a été réalisée à l'Institut de chimie et physique industrielles de Lyon utilisant quatre processeurs à architecture à flot de données et programmable liant ainsi puissance et souplesse d'utilisation. Pour valider cette architecture pour le traitement et l'analyse d'image, l'approche a été double : - mise en oeuvre d'algorithme à la fois coûteux et originaux scientifiquement : algorithme de Danielson, suppression de flou, reconstruction 3D. - implantation sur site industriel avec prise en compte des contraintes de temps et intégration dans une chaine complète de contrôle
APA, Harvard, Vancouver, ISO, and other styles
41

Tan, Eugene. "Design, fabrication and characterization of N-channel InGaAsP-InP based inversion channel technology devices (ICT) for optoelectronic integrated circuits (OEIC), double heterojunction optoelectronic switches (DOES), heterojunction field-effect transistors (HFET), bipolar inversion channel field-effect transistors (BICFET) and bipolar inversion channel phototransistors (BICPT)." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1998. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape11/PQDD_0006/NQ42767.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Liu, Kou-chen. "Si1-xGex/Si vertical MOSFETs and sidewall strained Si devices : design and fabrication /." Digital version accessible at:, 1999. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Ewuame, Komi Atchou. "Analyse Expérimentale et Numérique des Contraintes Thermomécaniques Induites lors des Procédés Émergents de Fabrication de Puces Électroniques au moyen des Capteurs Embarqués." Thesis, Paris Sciences et Lettres (ComUE), 2016. http://www.theses.fr/2016PSLEM006/document.

Full text
Abstract:
Pour la détermination des contraintes thermomécaniques au niveau du silicium, les capteurs piézorésistifs (en rosette) composés de 4nMOS et 4pMOS ont été développés et embarqués dans des produits de la microélectronique.Les relations caractéristiques liant les grandeurs piézorésistives, électriques et mécaniques ont été établies.La détermination des grandeurs piézorésistives nécessite un test de calibration effectué ici à l’aide d’une machine de flexion quatre-points. Cette machine a été conçue et fabriquée dans le cadre de cette thèse et permet d’appliquer une contrainte uniforme uni-axiale dans l’échantillon de silicium et de déterminer ainsi les trois coefficients piézorésistifs.Les capteurs intégrés sur différentes technologies telles que CMOS65, BiCMOS55, CMOS40, BSI140 et PIC25 ont été calibrés avec cette machine.Ces capteurs MOS ont été utilisés dans les cas d’études des contraintes induites par le TSV (technologie CMOS65), par la mise en boitier avec un empilement 3D (technologie CMOS65) et un empilement 2D (technologie BiCMOS55).Les résultats donnent des composantes de contraintes (σyy, σzz) qui ne sont pas en bonne corrélation avec les résultats de simulations. Les réponses électriques des MOS orientés à 90° (direction [010]) par rapport à l’axe des x (direction [100]) sont mises en question, car le coefficient (π12) obtenu à partir de ce MOS agit directement sur les deux composantes de contraintes.D’autre part, les variations de contraintes dans la zone des capteurs, les variabilités inter-puces et inter-plaques perturbent les résultats.Intégrées dans la même structure de test de la technologie CMOS40, différents composants ont été étudiés, notamment les transistors MOS rosette, la structure bandgap et les résistances poly-Si qui ont aussi été calibrés.Une étude de la contrainte thermomécanique induite par la mise en boitier de cette technologie a révélé un fort impact sur les réponses de sortie (mobilité des MOS, tension bandgap).Par une étude de minimisation paramétrique, cet impact a été réduit en agissant sur les dimensions géométriques des constituants et les propriétés matériaux de la résine de moulage.Ces résultats montrent que les MOS en rosette peuvent être utilisés comme capteurs de contraintes mais avec une efficacité limitée. L’utilisation des résistances actives comme capteurs de contraintes est donc envisageable. Par contre, ces MOS peuvent être utilisés pour déterminer l’impact des contraintes sur le fonctionnement de la puce
For the thermomechanical stress assessment in silicon, piezoresistive sensors (in rosette) composed of 4nMOS and 4pMOS were developed and embedded into microelectronic products.The characteristic relations between piezoresistive, electrical and mechanical quantities were established.Piezoresistive quantities were identified thanks to a four-points bending calibration machine. This machine was designed and fabricated in the frame of this PhD and enables applying a known uniform uniaxial stress into silicon sample and then calculating the three piezoresistive coefficients.The sensors embedded into different technologies such as CMOS65, BiCMOS55, CMOS40, BSI140 and PIC25 were calibrated with this machine.These MOS sensors were used for studying stresses induced by TSV (CMOS65 technology), by packaging with 3D stacking (CMOS65 technology) and 2D stacking (BiCMOS55 technology).The results give stress components (σyy, σzz) which are not in a good agreement with simulation results. Electrical responses of the MOS oriented at 90° ([010] direction with respect to the x axis ([100] direction)) are questioned because the coefficients (π12) obtained from this MOS acts directly on the two components.In addition, stress variations in sensors area, as well as inter-chips and inter-wafers variabilities disturb the results.Integrated into the same test chip of the CMOS40 technology, different structures were studied, namely the MOS transistors, the bandgap structure and the poly-Si resistances which were also calibrated.For this technology, a study of thermomechanical stress induced by packaging revealed a significant impact on the output responses (MOS mobility, bandgap voltage). Through a minimization parametric study, this impact was reduced by controlling the geometrical dimensions of components and the material properties of the moulding compound.These results show that, MOS rosettes can be used as stress sensors but with a limited efficiency. The use of active resistances as stress sensors is therefore envisaged. However, these MOS can be used to study the impact of stresses on the chip operation
APA, Harvard, Vancouver, ISO, and other styles
44

Kartci, Aslihan. "Analogová implementace prvků neceločíselného řádu a jejich aplikace." Doctoral thesis, Vysoké učení technické v Brně. Fakulta elektrotechniky a komunikačních technologií, 2019. http://www.nusl.cz/ntk/nusl-402652.

Full text
Abstract:
S pokroky v teorii počtu neceločíselného řádu a také s rozšířením inženýrských aplikací systémů neceločíselného řádu byla značná pozornost věnována analogové implementaci integrátorů a derivátorů neceločíselného řádu. Je to dáno tím, že tento mocný matematický nástroj nám umožňuje přesněji popsat a modelovat fenomén reálného světa ve srovnání s klasickými „celočíselnými“ metodami. Navíc nám jejich dodatečný stupeň volnosti umožňuje navrhovat přesnější a robustnější systémy, které by s konvenčními kondenzátory bylo nepraktické nebo nemožné realizovat. V předložené disertační práci je věnována pozornost širokému spektru problémů spojených s návrhem analogových obvodů systémů neceločíselného řádu: optimalizace rezistivně-kapacitních a rezistivně-induktivních typů prvků neceločíselného řádu, realizace aktivních kapacitorů neceločíselného řádu, analogová implementace integrátoru neceločíselného řádů, robustní návrh proporcionálně-integračního regulátoru neceločíselného řádu, výzkum různých materiálů pro výrobu kapacitorů neceločíselného řádu s ultraširokým kmitočtovým pásmem a malou fázovou chybou, možná realizace nízkofrekvenčních a vysokofrekvenčních oscilátorů neceločíselného řádu v analogové oblasti, matematická a experimentální studie kapacitorů s pevným dielektrikem neceločíselného řádu v sériových, paralelních a složených obvodech. Navrhované přístupy v této práci jsou důležitými faktory v rámci budoucích studií dynamických systémů neceločíselného řádu.
APA, Harvard, Vancouver, ISO, and other styles
45

Ferrotti, Thomas. "Design, fabrication and characterization of a hybrid III-V on silicon transmitter for high-speed communications." Thesis, Lyon, 2016. http://www.theses.fr/2016LYSEC054/document.

Full text
Abstract:
Depuis plusieurs années, le volume de données échangé à travers le monde augmente sans cesse. Pour gérer cette large quantité d’information, des débits élevés de transmission de données sur de longues distances sont essentiels. Puisque les interconnections à base de cuivre ne peuvent pas suivre cette tendance, des systèmes de transmission optique rapides sont requis dans les centre de données. Dans ce contexte, la photonique sur silicium est considérée comme une solution pour obtenir des circuits photoniques intégrés à un coût réduit. Bien que cette technologie ait connu une croissance significative au cours de la dernière décennie, les transmetteurs actuels à haut débit de transmission sont principalement basés sur des sources laser externes. Par conséquent, l’objectif de ce travail de thèse était de concevoir et produire un transmetteur à haut débit de transmission de données pour la photonique sur silicium, doté d’une source laser intégrée.Ce transmetteur se compose d’un modulateur silicium de type Mach-Zehnder, co-intégré sur la même plaque avec un laser hybride III-V sur silicium à réseaux de Bragg distribués, dont la longueur d’onde d’émission peut être contrôlée électriquement autour de 1.3μm. La conception des différents éléments constituant à la fois le laser (coupleurs adiabatique entre le III-V et le silicium, miroirs de Bragg) et le modulateur (jonctions p-n, électrodes à ondes progressives) est détaillée, de même que leur fabrication. Pendant la caractérisation des transmetteurs, des taux de transmission de données jusqu’à 25Gb/s, pour des distances allant jusqu’à 10km ont été démontrés avec succès, avec la possibilité de contrôler la longueur d’onde jusqu’à 8.5nm. Par ailleurs, afin d’améliorer l’intégration de la source laser avec le circuit photonique sur silicium, une solution basée sur le dépôt à basse température (en-dessous de 400°C) d’une couche de silicium amorphe pendant la fabrication est aussi évaluée. Des tests sur une cavité laser à contre-réaction distribuée ont montré des performances au niveau de l’état de l’art (avec des puissances de sortie supérieures à 30mW), prouvant ainsi la viabilité de cette approche
For several years, the volume of digital data exchanged across the world has increased relentlessly. To manage this large amount of information, high data transmission rates over long distances are essential. Since copper-based interconnections cannot follow this tendency, high-speed optical transmission systems are required in the data centers. In this context, silicon photonics is seen as a way to obtain fully integrated photonic circuits at an expected low cost. While this technology has experienced significant growth in the last decade, the high-speed transmitters demonstrated up to now are mostly based on external laser sources. Thus, the aim of this PhD thesis was to design and produce a high-speed silicon photonic transmitter with an integrated laser source.This transmitter is composed of a high-speed silicon Mach-Zehnder, co-integrated on the same wafer with a hybrid III-V on silicon distributed Bragg reflector laser, which emission wavelength can be electrically tuned in the 1.3μm wavelength region. The design of the various elements constituting both the laser (III-V to silicon adiabatic couplers, Bragg reflectors) and the modulator (p-n junctions, travelling-wave electrodes) is thoroughly detailed, as well as their fabrication. During the characterization of the transmitters, high-speed data transmission rates up to 25Gb/s, for distances up to 10km are successfully demonstrated, with the possibility to tune the operating wavelength up to 8.5nm. Additionally, in order to further improve the integration of the laser source with the silicon photonic circuit, a solution based on the low-temperature (below 400°C) deposition of an amorphous silicon layer during the fabrication process is also evaluated. Tests on a distributed feed-back laser structure have shown performances at the state-of-the-art level (with output powers above 30mW), thus establishing the viability of this approach
APA, Harvard, Vancouver, ISO, and other styles
46

Campanella, Pineda Humberto. "Thin-film bulk acoustic wave resonators (FBAR) : fabrication, heterogeneous integration with CMOS technologies and sensor applications." Doctoral thesis, Universitat Autònoma de Barcelona, 2008. http://hdl.handle.net/10803/5357.

Full text
Abstract:
El gran impacto de la tecnología FBAR tanto en sistemas de radio frecuencia como más recientemente en sensores han motivado el desarrollo de aplicaciones integradas. Esto implica que los procesos de fabricación deberían lograr producir dispositivos resonadores con un alto factor de calidad, al tiempo que permitir la integración de los FBAR con tecnologías CMOS estándar. De tal manera, esta tesis doctoral aborda dichos requerimientos, contribuyendo con el diseño, fabricación y caracterización de resonadores FBAR; su integración con tecnologías CMOS estándar; y su aplicación a sistemas de sensores.
El desarrollo de la tecnología de fabricación de los FBAR ha involucrado la puesta a punto de las técnicas de depósito y micro-mecanización de la estructura en capas del resonador, la cual está comprendida por una película de material acústico hecha de nitruro de aluminio (AlN). Se realizaron diversas pruebas para analizar la calidad del AlN depositado. También se probaron y pusieron a punto diferentes tecnologías de micro¬mecanización para liberar la estructura del FBAR, destacando entre ellas la técnica de ataque en seco por la cara de componentes, dados los altos factores de calidad obtenidos (superiores a 2.000 a 2,4 GHz). Sobre los dispositivos fabricados se realizaron caracterizaciones estructurales, modelos utilizando análisis de elementos finitos y la extracción de parámetros de circuito equivalente. Una variación del proceso que involucraba el diseño, modelado y fabricación de un dispositivo FBAR con compensación de temperatura fue igualmente desarrollada. En este ámbito vale la pena resaltar la concepción y realización de una novedosa técnica post-fabricación para el ajuste fino de la frecuencia de resonancia de los FBAR por medio de un haz de iones focalizados (FIB).
Basado en la tecnología arriba mencionada, se desarrolló un método de integración heterogénea a nivel de oblea de los dispositivos FBAR en sustratos CMOS estándar. De acuerdo con este método, se logró fabricar por primera vez dispositivos FBAR flotando sobre sustratos CMOS estándar. Este método ha sido exitosamente demostrado por medio de la integración de los FBAR tanto con la tecnología comercial AMS035 como con la CNM25, desarrollada en el CNM-IMB (CSIC).
En el terreno de las aplicaciones, se diseñaron y realizaron diferentes aplicaciones de sensores basadas en FBAR, siendo el detector de masas localizadas la más relevante de entre ellas. Es de anotar que esta aplicación fue demostrada por primera vez utilizando FBARs de alta frecuencia como elemento sensor. De tal forma, se contrastaron los resultados experimentales y de modelado del sensor. Por otra parte, se presenta también el concepto de sensores mecánicos basados en FBAR. Para ello se han desarrollado dos ejemplos: el acelerómetro basado en FBAR y el sensor de fuerza para aplicaciones de puntas de AFM. Se reporta también en esta tesis la fabricación y caracterización de un nuevo tipo de resonadores acústicos de AlN sin contacto entre electrodos.
The high impact of FBAR on radio-frequency and, most recently, on sensing systems has motivated the development of integrated applications. This means that the fabrication process should succeed in producing high-quality-factor resonators and, at the same time, in integrating FBARs with standard CMOS technologies. Hence, this Ph.D. thesis addresses these requirements by contributing with the design, fabrication and characterization of thin-film bulk acoustic wave resonators (FBAR); their integration with standard complementary-metal-oxide-semiconductor (CMOS) technologies; and their application to sensing systems.
The development of the FBAR's fabrication technology has involved the set up of the deposition and micromachining techniques of the layered structure of the resonator, which comprises an acoustic layer made of aluminum nitride (AlN). Several tests on the deposition and characterization of the AlN quality were carried out. Also, different micro-machining technologies for FBAR releasing were tested, the front-side micro-machining technique having obtained the best quality-factor results (over 2,000 at 2.4 GHz). Structural and device experimental characterization; and equivalent-circuit parameter and finite-element modeling of the FBAR were carried out. A process variation involving the design, modeling and fabrication of a temperature-compensated (TC) FBAR device was also implemented. Another remarkable result is the implementation of a post-fabrication, focused-ion-beam assisted technique for tuning of the resonance frequency of the FBAR.
Based on the foregoing-mentioned FBAR technology, a method for performing wafer-level heterogeneous integration of the FBAR with a CMOS substrate was developed. According to this method, the fabrication of a floating FBAR above standard CMOS substrates has been achieved for the first time. The method was demonstrated by integrating FBARs on the commercial AMS035 and the in-house CNM25 CMOS technologies.
On the application side, different FBAR-based sensor applications were implemented, the localized-mass detector being the most relevant, which has been demonstrated for the first time for high-frequency bulk-acoustic resonators. Experimental and modeling results have been contrasted. Also, the concept of FBAR-based mechanical sensor has been introduced. Two examples are the embedded-FBAR accelerometer and the force sensor for AFM-cantilever applications. The fabrication and characterization results of an AlN-based contactless acoustic resonator are also reported in this thesis.
APA, Harvard, Vancouver, ISO, and other styles
47

Santini, Guillaume. "Conception fabrication et caractérisation d’un photorécepteur cohérent en filière PIC InP pour les applications 100-400 Gbit/s." Thesis, Evry, Institut national des télécommunications, 2017. http://www.theses.fr/2017TELE0024/document.

Full text
Abstract:
Ce travail porte sur la conception, la fabrication et la caractérisation d’un photorécepteur cohérent en filière PIC InP pour les applications 100-400 Gbit/s. La solution retenue est un récepteur cohérent pré-amplifié par un SOA pour permettre d’améliorer la responsivité du récepteur par rapport à un récepteur cohérent classique. De plus, ce récepteur est réalisé en technologie enterrée pour permettre un fonctionnement sur une plus grande gamme de longueurs d’onde. Enfin, un récepteur cohérent non pré-amplifié est aussi réalisé pour pouvoir évaluer l’impact de l’intégration du SOA sur le fonctionnement de notre récepteur. La première partie de cette étude est consacrée à des rappels sur les transmissions optiques à très haut débit, à un état de l’art sur les récepteurs cohérents, à une présentation des différents photodétecteurs et à une présentation de l’hybrid 90° qui est le composant coeur des récepteurs cohérents. Dans un second temps, nous présentons les différents choix retenus pour la conception de notre récepteur. L’étude de deux hybrid 90° simulés en technologie ridge et en technologie enterrée est détaillée. Nous commentons également le choix des photodiodes ainsi que le choix du SOA utilisé pour notre composant. Le troisième chapitre est consacré aux différentes étapes technologiques permettant la fabrication de notre récepteur cohérent pré amplifié. Nous commençons par une description des différentes techniques d’épitaxie utilisées. Ensuite, nous présentons en détails les 22 étapes technologiques nécessaires pour réaliser notre récepteur. Enfin, nous regroupons l’ensemble des caractérisations réalisées sur notre récepteur cohérent. Après un rappel sur les différentes parties de celui-ci et de leurs performances clés, nous caractérisons les composants unitaires formant notre récepteur (mixeur cohérent, photodiodes UTC et SOA). Enfin nous présentons les caractéristiques statiques et dynamiques de notre récepteur et nous comparons ses performances avec celles de l’état de l’art. Ces travaux de thèse ont permis de démontrer la faisabilité d’un récepteur pré-amplifié utilisant un SOA intégré en technologie InP enterrée avec un record de responsivité de 5 A/W. Ceci représente un gain de 12,5 dB par rapport à un récepteur cohérent non amplifié idéal et un gain de 15,5 dB par rapport à l’état de l’art des récepteurs cohérents. De plus, la consommation engendrée par cette intégration reste très faible (240 mW). Enfin, nous avons démontré une démodulation à 32 Gbauds avec un facteur Q de 14 dB. La bande passante de 40 GHz de nos diodes est compatible avec des applications à 56 Gbauds et peut être améliorée pour des applications à 100 Gbauds en réduisant la taille des photodiodes. Ce travail de thèse ouvre donc le chemin pour de nouveaux récepteurs pré-amplifés par un SOA pour des applications à 400 Gbit/s
This work focuses on the design, manufacturing and characterization of a coherent photoreceptor in PiC InP for 100-400 Gbit/s applications. The chosen solution is a preamplified coherent receiver with an SOA to improve the responsivity compared to a conventional coherent receiver. In addition, this receiver is made in buried technology to allow operation over a wider range of wavelengths. Finally, a coherent receiver without SOA is also produced to be able to evaluate its impact on the performances of our receiver. The first part of this study is devoted to reminders about very high speed optical transmissions, about state of the art on coherent receivers, about a presentation of the different photodetectors and a presentation of the 90° hybrid which is the core component in coherent receivers. Secondly, we present the various choices made for the design of our receiver. The study of two 90° hybrids simulated in ridge or in buried technology is detailed. We also comment the choices of photodiodes and SOA used for our component. The third chapter is devoted to the different technological steps used to build our preamplified receiver. We start with a description of the different epitaxial techniques used. Then, we present in detail the 22 technological steps required to realize our receiver. Finally, we group all the characterizations preformed on our coherent receiver. We characterize the unitary components of our receiver (hybrid 90°, UTC photodiodes and SOA). Finally we present the static and dynamic characteristics of our receiver and we compare its performances with the state of the art. This thesis demonstrates the feasibility of a preamplified receiver using a SOA in buried InP technology with a record of reponsivity of 5 A/W. This represents a gain of 12.5 dB compared to an ideal coherent receiver and a gain of 15,5 dB compared to the state of the art. In addition, the consumption generated by this integration remains very low (240 mW). Finally, we have demonstrated a 32 Gbauds demodulation with a Q factor of 14dB and the 40 GHz bandwidth of our photodiodes is compatible with 56 Gbauds applications. It can be improved for 100 Gbauds applications by reducing the size of our photodiodes. This thesis opens the way for a new preamplified coherent receiver for 400 Gbit/s applications
APA, Harvard, Vancouver, ISO, and other styles
48

Cook, Benjamin Stassen. "Vertical integration of inkjet-printed RF circuits and systems (VIPRE) for wireless sensing and inter/intra-chip communication applications." Diss., Georgia Institute of Technology, 2014. http://hdl.handle.net/1853/51844.

Full text
Abstract:
Inkjet-printing is a technology which has for the last decade been exploited to fabricate flexible RF components such as antennas and planar circuit elements. However, the limitations of feature size and single layer fabrication prevented the demonstration of compact, and high efficiency RF components operating above 10 GHz into the mm-Wave regime which is critical to silicon integration and fully-printed modules. To overcome these limitations, a novel vertically-integrated fully inkjet-printed process has been developed and characterized up to the mm-Wave regime which incorporates up to five highly conductive metal layers, variable thickness dielectric layers ranging from 200 nm to 200 um, and low resistance through-layer via interconnects. This vertically-integrated inkjet printed electronics process, tagged VIPRE, is a first of its kind, and is utilized to demonstrate fully additive RF capacitors, inductors, antennas, and RF sensors operating up to 40 GHz. In this work, the first-ever fully inkjet printed multi-layer RF devices operating up to 40 GHz with high-performance are demonstrated, along with a demonstration of the processing techniques which have enabled the printing of multi-layer RF structures with multiple metal layers, and dielectric layers which are orders of magnitude thicker than previoulsy demonstrated inkjet-printed structures. The results of this work show the new possibilities in utilizing inkjet printing for the post-processing of high-efficiency RF inductors, capacitors, and antennas and antenna arrays on top of silicon to reduce chip area requirements, and for the production of entirely printed wireless modules.
APA, Harvard, Vancouver, ISO, and other styles
49

Maghribi, M. "Microfabrication of an Implantable silicone Microelectrode array for an epiretinal prosthesis." Washington, D.C : Oak Ridge, Tenn. : United States. Dept. of Energy ; distributed by the Office of Scientific and Technical Information, U.S. Dept. of Energy, 2003. http://www.osti.gov/servlets/purl/15005780-5uYpbJ/native/.

Full text
Abstract:
Thesis (Ph.D.); Submitted to the Univ. of California, Davis, CA (US); 10 Jun 2003.
Published through the Information Bridge: DOE Scientific and Technical Information. "UCRL-LR-153347" Maghribi, M. 06/10/2003. Report is also available in paper and microfiche from NTIS.
APA, Harvard, Vancouver, ISO, and other styles
50

Vu, Thi Mai. "Etude des couches minces du système ternaire Ge-Se-Te et fabrication de composants d'optique intégrée IR, briques de base de micro-capteurs optiques de gaz." Thesis, Montpellier 2, 2014. http://www.theses.fr/2014MON20098/document.

Full text
Abstract:
Dans un contexte où les besoins en capteurs de gaz sont de plus en plus importants, en particulier pour la métrologie de l'environnement, il est proposé dans ce travail la réalisation de guides d'onde droits, de guides spirales, de jonctions Y,…, éléments indispensables pour la fabrication de micro-capteurs optiques infrarouges. La réalisation de ces différents éléments, par empilement et gravure de couches amorphes du système ternaire Ge-Se-Te, a nécessité en premier lieu l'étude du dit système. Des couches minces Ge-Se-Te de compositions très différentes ont été déposées par co-évaporation thermique, puis caractérisées en termes d'homogénéité, stabilité thermique, gap optique et indice de réfraction. L'évolution des propriétés en fonction de la composition a ensuite permis de mettre en évidence un domaine de compositions du système Ge-Se-Te particulièrement attractif : dans ce domaine, correspondant aux compositions riches en sélénium (plus de 55 % atomique) et contenant entre 20 et 30 % de germanium, les couches sont en effet monophasées, caractérisées par des températures de transition vitreuse élevées, une grande stabilité thermique, et un domaine de transparence s'étendant de 1 à 16 µm environ. Dans ce domaine de compositions, deux d'entre elles ont été choisies, Ge25Te10Se65 et Ge25Te20Se55, et utilisées pour fabriquer différents circuits d'optique intégrée. Les éléments les plus simples, à savoir des guides d'onde canaux, ont été réalisés en déposant successivement deux couches (Ge25Te10Se65 puis Ge25Te20Se55) sur un substrat silicium, puis en modifiant la géométrie de la couche supérieure d'indice de réfraction plus élevé par usinage ionique, de sorte à obtenir un confinement bidimensionnel de la lumière. Les pertes de propagation de ces guides ont été estimées à 1 dB.cm-1 à la longueur d'onde 1,55 µm. D'autres éléments plus complexes ont ensuite été fabriqués : des guides d'onde courbes pour lesquels les propriétés de guidage ont été obtenues quel que soit le rayon de courbure, des guides spirales ayant donné lieu à un bon guidage de la lumière, des jonctions Y caractérisées par une division satisfaisante de l'intensité lumineuse, ainsi que des interféromètres de type Mach-Zehnder en sortie desquels la lumière a été correctement recombinée
In a context where the needs for gas sensors are increasingly important, especially for environmental metrology, it is proposed in this work to achieve straight waveguides, spirals, Y-junctions, ..., elements essential for the fabrication of infrared optical micro-sensors. The realization of these elements, by stacking and etching of amorphous thin films from the Ge-Se-Te ternary system, first required the study of this system. Ge-Se-Te thin films of very different compositions were deposited by thermal co-evaporation and characterized in terms of uniformity, thermal stability, optical band gap and refractive index. The evolution of the film properties with the composition was then used to highlight a particularly attractive area of compositions in the Ge-Se-Te system: in this domain, corresponding to compositions rich in Se (more than 55 atomic %) and containing between 20 and 30 atomic % in Ge, the layers are indeed single-phase, characterized by high glass transition temperatures, high thermal stability, and a transparency window extending from 1 to about 16 microns. In this composition region, two of them were selected, Ge25Te10Se65 and Ge25Te20Se55, and used to realize different integrated optics circuits. The simplest elements, which are channel waveguides, were made by depositing successively two layers (Ge25Te10Se65 then Ge25Te20Se55) on a silicon substrate, and then by modifying the geometry of the higher refractive index top layer by ion beam etching, so as to obtain a two-dimensional confinement of light. Propagation losses of these straight waveguides were estimated at 1 dB.cm-1 at the 1.55 µm wavelength. Other more complex elements were then fabricated: S-bent waveguides for which the guiding properties were obtained whatever the curvature radius, operational spiral waveguides, Y-junctions able of a satisfactory division of the light intensity, and Mach-Zehnder interferometers at the output of which the light was successfully recombined
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography