To see the other types of publications on this topic, follow the link: Integrated circuit layout.

Dissertations / Theses on the topic 'Integrated circuit layout'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Integrated circuit layout.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Harter, Andrew Charles. "Three-dimensional integrated circuit layout." Thesis, University of Cambridge, 1990. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.335724.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Griffin, Glenn. "Intelligent circuit recognition for VLSI layout verification." Master's thesis, This resource online, 1993. http://scholar.lib.vt.edu/theses/available/etd-04272010-020102/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Paroski, Andrew John. "Deform a new approach for redistributing placements /." Diss., Online access via UMI:, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Rutherford, William C. "Gallium arsenide integrated circuit modeling, layout and fabrication." Thesis, University of British Columbia, 1987. http://hdl.handle.net/2429/26733.

Full text
Abstract:
The object of the work described in this thesis was to develop GaAs integrated circuit modeling techniques based on a modified version of SPICE 2, then layout, fabricate, model and test ion implanted GaAs MESFET integrated sample and hold circuits. A large signal GaAs MESFET model was used in SPICE to evaluate the relative performance of inverted common drain logic (ICDL) digital integrated circuits compared to other circuit configurations. The integrated sample and hold subsequently referred to as an integrated sampling amplifier block(ISAB), uses a MESFET switch with either one or two guard gates to suppress strobe feedthrough. Performance guidelines suggested by the project sponsor indicate an optimal switch sampling pulse width capability of 25 ps with 5 ps rise and fall time. Guard gates are included in the switch layout to evaluate pulse feedthrough minimization. The project sponsor suggested -20 dB pulse feedthrough isolation and minimum sampling switch off isolation of -20 dB at 10 GHz as project guidelines. Simulations indicate that a 0.5 µm gate length process approaches the suggested performance guidelines. A mask layout was designed and modeled including both selective implant and refractory self aligned gate processes. The refractory self aligned gate process plasma etched t-gate structure produces a sub 0.5 µm gate length.
Applied Science, Faculty of
Electrical and Computer Engineering, Department of
Graduate
APA, Harvard, Vancouver, ISO, and other styles
5

Hong, Seong-Kwan. "Performance driven analog layout compiler." Diss., Georgia Institute of Technology, 1994. http://hdl.handle.net/1853/15037.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Chowdhury, M. Foysol. "An expert system for analogue integrated circuit layout design." Thesis, University of Essex, 1991. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.303493.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Kim, Kwanghyun. "An interactive design rule checker for integrated circuit layout." Thesis, Virginia Polytechnic Institute and State University, 1985. http://hdl.handle.net/10919/50034.

Full text
Abstract:
An implementation of an interactive design rule checker is described in this thesis. Corner-based design rule checking algorithm is used for the implementation. Due to the locality of checking mechanism of the corner-based algorithm, it is suitable for hierarchical and interactive local design rule checking. It also allows the various design rules to be specified very easily. Interactive operations are devised so that the design rule checker can be invoked from inside the layout editor. All the information about the violation, such as position, type of violation, and symbol definition name are provided in an interactive manner. In order to give full freedom to the user to choose the scope of checking, three options, "Flattening", "Unflattening" and "User-defined window" are implemented in creating the database to be checked. The "User-defined window" option allows hierarchical design rule checking on a design which contains global rectangles. Using these three options, very efficient hierarchical checking can be performed.
Master of Science
incomplete_metadata
APA, Harvard, Vancouver, ISO, and other styles
8

Zhang, Xibo. "RF integrated circuit design options : from technology to layout /." View Abstract or Full-Text, 2003. http://library.ust.hk/cgi/db/thesis.pl?ELEC%202003%20ZHANG.

Full text
Abstract:
Thesis (M. Phil.)--Hong Kong University of Science and Technology, 2003.
Includes bibliographical references (leaves 59-61). Also available in electronic version. Access restricted to campus users.
APA, Harvard, Vancouver, ISO, and other styles
9

Liesenberg, H. K. E. "A layout module for a silicon compiler." Thesis, University of Newcastle Upon Tyne, 1985. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.353769.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Robinson, Jayne Helen. "Artifical intelligence applied to MMIC layout." Thesis, Queen's University Belfast, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.295424.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Nickoloff, Jacob L. "Layout generation and its application." Online access for everyone, 2007. http://www.dissertations.wsu.edu/Thesis/Summer2007/J_Nickoloff_081407.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Gani, Sohail M. "A gate matrix approach to VLSI logic layout." Thesis, University of Essex, 1990. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.238380.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Ahmed, Mohammad Abrar. "Early Layout Design Exploration in TSV-based 3D Integrated Circuits." PDXScholar, 2017. https://pdxscholar.library.pdx.edu/open_access_etds/3617.

Full text
Abstract:
Through silicon via (TSV) based 3D integrated circuits have inspired a novel design paradigm which explores the vertical dimension, in order to alleviate the performance and power limitations associated with long interconnects in 2D circuits. TSVs enable vertical interconnects across stacked and thinned dies in 3D-IC designs, resulting in reduced wirelength, footprint, faster speed, improved bandwidth, and lesser routing congestion. However, the usage of TSVs itself gives rise to many critical design challenges towards the minimization of chip delay and power consumption. Therefore, realization of the benefits of 3D ICs necessitates an early and realistic prediction of circuit performance during the early layout design stage. The goal of this thesis is to meet the design challenges of 3D ICs by providing new capabilities to the existing floorplanning framework [87]. The additional capabilities included in the existing floorplanning tool is the co-placement of TSV islands with circuit blocks and performing non-deterministic assignment of signals to TSVs. We also replace the wirelength and number of TSVs in the floorplanning cost function with the total delay in the nets. The delay-aware cost function accounts for RC delay impact of TSVs on the delay of individual signal connection, and obviates the efforts required to balance the weight contributions of wirelength and TSVs in the wirelength-aware floorplanning. Our floorplanning tool results in 5% shorter wirelength and 21% lesser TSVs compared to recent approaches. The delay in the cost function improves total delay in the interconnects by 10% - 12% compared to wirelength-aware cost function. The influence of large coupling capacitance between TSVs on the delay, power and coupling noise in 3D interconnects also offers serious challenges to the performance of 3D-IC. Due to the degree of design complexity introduced by TSVs in 3D ICs, the importance of early stage evaluation and optimization of delay, power and signal integrity of 3D circuits cannot be ignored. The unique contribution of this work is to develop methods for accurate analysis of timing, power and coupling noise across multiple stacked device layers during the floorplanning stage. Incorporating the impact of TSV and the stacking of multiple device layers within floorplanning framework will help to achieve 3D layouts with superior performance. Therefore, we proposed an efficient TSV coupling noise model to evaluate the coupling noise in the 3D interconnects during floorplanning. The total coupling noise in 3D interconnects is included in the cost function to optimize positions of TSVs and blocks, as well as nets-to-TSVs assignment to obtain floorplans with minimized coupling noise. We also suggested diagonal TSV arrangement for larger TSV pitch and nonuniform pitch arrangement for reducing worst TSV-to-TSV coupling, thereby minimizing the coupling noise in the interconnects. This thesis also focuses on more realistic evaluation and optimization of delay and power in TSV based 3D integrated circuits considering the interconnect density on individual device layers. The floorplanning tool uses TSV locations and delay, non-uniform interconnect density across multiple stacked device layers to assess and optimize the buffer count, delay, and interconnect power dissipation in a design. It is shown that the impact of non-uniform interconnect density, across the stacked device layers, should not be ignored, as its contribution to the performance of the 3D interconnects is consequential. A wire capacitance-aware buffer insertion scheme is presented that determines the optimal distance between adjacent buffers on the individual device layers for nonuniform wire density between stacked device layers. The proposed approach also considers TSV location on a 3D wire to optimize the buffer insertion around TSVs. For 3D designs with uniform wire density across stacked device layers, we propose a TSV-aware buffer insertion approach that appropriately models the TSV RC delay impact on interconnect delay to determine the optimum interval between adjacent buffers for individual 3D nets. Moreover, our floorplanning tool help achieve 3D layouts with superior performance by incorporating the impact of nonuniform density on the delay, power and coupling noise in the interconnects during floorplanning.
APA, Harvard, Vancouver, ISO, and other styles
14

Srinivasan, Gopikrishna. "Multiscale EM and circuit simulation using the Laguerre-FDTD scheme for package-aware integrated-circuit design." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/24705.

Full text
Abstract:
Thesis (Ph.D.)--Electrical and Computer Engineering, Georgia Institute of Technology, 2008.
Committee Chair: Prof. Madhavan Swaminathan; Committee Member: Prof. Andrew Peterson; Committee Member: Prof. Sungkyu Lim
APA, Harvard, Vancouver, ISO, and other styles
15

Harimoto, Seiyu. "PC-ICICLE: an interactive color integrated circuit layout editor for personal computers." Thesis, Virginia Tech, 1987. http://hdl.handle.net/10919/45790.

Full text
Abstract:

An interactive color graphics layout editor for VLSI has been implemented on the IBM PC. The software, PC-ICICLE, is written in Microsoft PASCAL and the 8086/88 Assembly Language under the DOS 2.0 environment. The basic hardware requirement is the standard configuration of the IBM PC with 256K bytes, and color graphics monitor and adapter. Without the need for any special hardware, PC-ICICLE makes layout editors more readily available to VLSI chip designers. PC-ICICLE has also been executed on the IBM PC-XT, IBM PC-AT, and Zenith's IBM compatible PC without any modifications.


Master of Science
APA, Harvard, Vancouver, ISO, and other styles
16

He, Yingchun. "VLSI Implementation of a Run-time Configurable Computing Integrated Circuit - The Stallion Chip." Thesis, Virginia Tech, 1998. http://hdl.handle.net/10919/36845.

Full text
Abstract:
Reconfigurable computing architectures are gaining popularity as a replacement for general-purpose architectures for many high performance embedded applications. These machines support parallel computation and direct the data from the producers of an intermediate result to the consumers over custom pathways. The Wormhole Run-time Reconfigurable (RTR) computing architecture is a concept developed at Virginia Tech to address the weaknesses of contemporary FPGAs for configurable computing. The Stallion chip is a full-custom configurable computing "FPGA"-like integrated circuit with a coarse grained nature. Based on the result of the first generation device, the Colt chip, the Stallion chip is a follow-up configurable computing chip. This thesis focuses on the VLSI layout implementation of the Stallion chip. Effort has been made to explain many facts and advantages of the Wormhole Configurable Computing Machine (CCM). Design techniques, strategies, circuit characterization, performance estimation, and ways to solve problems when using CAD layout design tools are illustrated.
Master of Science
APA, Harvard, Vancouver, ISO, and other styles
17

Mukherjee, Souvik. "Layout-level Circuit Sizing and Design-for-manufacturability Methods for Embedded RF Passive Circuits." Diss., Georgia Institute of Technology, 2007. http://hdl.handle.net/1853/16131.

Full text
Abstract:
The emergence of multi-band communications standards, and the fast pace of the consumer electronics markets for wireless/cellular applications emphasize the need for fast design closure. In addition, there is a need for electronic product designers to collaborate with manufacturers, gain essential knowledge regarding the manufacturing facilities and the processes, and apply this knowledge during the design process. In this dissertation, efficient layout-level circuit sizing techniques, and methodologies for design-for-manufacturability have been investigated. For cost-effective fabrication of RF modules on emerging technologies, there is a clear need for design cycle time reduction of passive and active RF modules. This is important since new technologies lack extensive design libraries and layout-level electromagnetic (EM) optimization of RF circuits become the major bottleneck for reduced design time. In addition, the design of multi-band RF circuits requires precise control of design specifications that are partially satisfied due to manufacturing variations, resulting in yield loss. In this work, a broadband modeling and a layout-level sizing technique for embedded inductors/capacitors in multilayer substrate has been presented. The methodology employs artificial neural networks to develop a neuro-model for the embedded passives. Secondly, a layout-level sizing technique for RF passive circuits with quasi-lumped embedded inductors and capacitors has been demonstrated. The sizing technique is based on the circuit augmentation technique and a linear optimization framework. In addition, this dissertation presents a layout-level, multi-domain DFM methodology and yield optimization technique for RF circuits for SOP-based wireless applications. The proposed statistical analysis framework is based on layout segmentation, lumped element modeling, sensitivity analysis, and extraction of probability density functions using convolution methods. The statistical analysis takes into account the effect of thermo-mechanical stress and process variations that are incurred in batch fabrication. Yield enhancement and optimization methods based on joint probability functions and constraint-based convex programming has also been presented. The results in this work have been demonstrated to show good correlation with measurement data.
APA, Harvard, Vancouver, ISO, and other styles
18

Jangkrajarng, Nuttorn. "Analog/RF VLSI layout generation : layout retargeting via symbolic template /." Thesis, Connect to this title online; UW restricted, 2006. http://hdl.handle.net/1773/6084.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Teichmann, Jürgen. "Untersuchung allgemeiner Eigenschaften, Optimierung und integrierte Realisierung logischer Schaltungen mit hystereseförmiger Übertragungskennlinie." Doctoral thesis, Universitätsbibliothek Chemnitz, 2014. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-132705.

Full text
Abstract:
Zur Verbesserung der Störsicherheit bei der digitalen Signalübertragung wird eine Hysterese in die Übertragungskennlinie des Gatters eingefügt. Der Einfluss der Höhe der beiden Schwellwerte auf die Anzahl der auftretenden Fehler wird mittels eines Rechnerprogrammes untersucht. Ein Zufallsgenerator erzeugt Signale in verschiedenen Höhen und Breiten, die sich den ungestörten Signalen überlagern. Es erfolgt eine Umsetzung einer integrierten Schaltung auf einem TTL Master. Die Schaltung wird mittels eines eigens entwickelten Netzwerkanalyseprgrammes berechnet. Messergebnisse werden mitgeteilt
To enhance the noise immunity of digital signal transmission, a hysteresis is introduced to the transfer characteristic of integrated digital circuit. The influence of height of the two threshold values to the number of occurring errors is examined by a computer program. A random number generator generates signals of different heights and widths, which are superimposed on the undisturbed signals. There is an implementation of an integrated circuit on a TTL master. The DC performane is calculated by means of a specially developed circuit analysis program. Measurement results are presented
APA, Harvard, Vancouver, ISO, and other styles
20

Bhattacharya, Sambuddha. "Template-driven parasitic-aware optimization of analog/RF IC layouts /." Thesis, Connect to this title online; UW restricted, 2005. http://hdl.handle.net/1773/6121.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Subramanian, Shankar. "CAD oriented database for integrated circuit layouts." Thesis, Virginia Polytechnic Institute and State University, 1986. http://hdl.handle.net/10919/94475.

Full text
Abstract:
Modern integrated circuit layouts are so complex that databases often have to deal with a million or more geometric objects. For circuit and layout designers to be able to cope with such complex circuits, we (tool designers) must provide more and more support in such areas as design rule checking, circuit extraction, compaction, and routing. To support these tools the database must provide fast geometric operations for region queries, neighbor finding, and location of empty space. Furthermore, in order to build practical interactive systems the database must also permit fast incremental modifications. This thesis describes the design of a database that satisfies the above requirements. The database, based on a data structure called corner stitching, consists of all data structure definitions and operations, the rule based system, hierarchical structures, database I/O, and interface for the CAD tool designer. The implementation techniques for a layout editor are also described. The most important feature of the database is that the complexity of key operations for neighbor finding, region queries, and location of empty space depend on local factors and are independent of overall layout size. The above mentioned features should make it possible to design tools that operate incrementally.
M.S.
APA, Harvard, Vancouver, ISO, and other styles
22

Wang, Jun. "Physical design with fabrication : friendly layout /." View the Table of Contents & Abstract, 2004. http://sunzi.lib.hku.hk/hkuto/record/B30575643.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Zhang, Lihong [Verfasser]. "Layout Synthesis of Analog Integrated Circuits / Lihong Zhang." Aachen : Shaker, 2003. http://d-nb.info/1179024044/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Schneider, Jan [Verfasser]. "Transistor-Level Layout of Integrated Circuits / Jan Schneider." Bonn : Universitäts- und Landesbibliothek Bonn, 2014. http://d-nb.info/1238687121/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Liu, Le-Chin Eugene. "Global routing and pin assignment for multi-layer chip-level layout /." Thesis, Connect to this title online; UW restricted, 1997. http://hdl.handle.net/1773/5898.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Diesing, Norbert Carleton University Dissertation Engineering Electrical. "ALE - a custom layout methodology for bipolar integrated circuits." Ottawa, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
27

Wang, Jun, and 王雋. "Physical design with fabrication: friendly layout." Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2004. http://hub.hku.hk/bib/B45015119.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Aluru, Gunasekhar. "Exploring Analog and Digital Design Using the Open-Source Electric VLSI Design System." Thesis, University of North Texas, 2016. https://digital.library.unt.edu/ark:/67531/metadc849770/.

Full text
Abstract:
The design of VLSI electronic circuits can be achieved at many different abstraction levels starting from system behavior to the most detailed, physical layout level. As the number of transistors in VLSI circuits is increasing, the complexity of the design is also increasing, and it is now beyond human ability to manage. Hence CAD (Computer Aided design) or EDA (Electronic Design Automation) tools are involved in the design. EDA or CAD tools automate the design, verification and testing of these VLSI circuits. In today’s market, there are many EDA tools available. However, they are very expensive and require high-performance platforms. One of the key challenges today is to select appropriate CAD or EDA tools which are open-source for academic purposes. This thesis provides a detailed examination of an open-source EDA tool called Electric VLSI Design system. An excellent and efficient CAD tool useful for students and teachers to implement ideas by modifying the source code, Electric fulfills these requirements. This thesis' primary objective is to explain the Electric software features and architecture and to provide various digital and analog designs that are implemented by this software for educational purposes. Since the choice of an EDA tool is based on the efficiency and functions that it can provide, this thesis explains all the analysis and synthesis tools that electric provides and how efficient they are. Hence, this thesis is of benefit for students and teachers that choose Electric as their open-source EDA tool for educational purposes.
APA, Harvard, Vancouver, ISO, and other styles
29

Buddi, Naveen. "Layout Synthesis for Datapath Designs." PDXScholar, 1996. https://pdxscholar.library.pdx.edu/open_access_etds/5240.

Full text
Abstract:
As datapath chips such as microprocessors and digital signal processors become more complex, efficient CAD tools that preserve the regularity of datapath designs and result in small layout area are required. The standard-cell placement techniques ignore the regularity of datapath designs and hence give inefficient layouts. This has necessitated the development of new techniques for datapath module placement. We developed a layout synthesis tool DataPathLAYOUT, for the bit-slice datapath logic designed using standard-cell libraries. We developed fast and area efficient heuristics for placing the cells in a bit-slice such that the regularity of datapath circuits is preserved and the number of channels in which a control signal is routed is minimized. The placement heuristics proposed here are general and also applicable to regular logic like systolic arrays. In addition, we propose a novel window- based heuristic, applicable to datapath and non-datapath circuits, for global routing of multi-terminal nets. We compared the area and run-time efficiency of the DPLAYOUT with an existing standard-cell placement and routing tool. We achieved 98-99% improvement in placement time, 28-33% improvement in area and 8-80% in total time. We conducted some experiments and demonstrated that for standard-cell based datapath designs, bit-slice-based layout generation approach is superior to non-bit-slice-based layout generation approach both in terms of area and run-time. Finally, by providing interface to Verilog hardware description language, we developed a general tool which can be easily integrated with any highlevel synthesis system. This tool is critical in any Datapath Silicon Compiler, to generate mask geometries from the behavioral level input specifications written in a hardware description language.
APA, Harvard, Vancouver, ISO, and other styles
30

Al-Mahmood, Saiyid Jami Islah Ahmad. "A distributed design rule checker for VLSI layouts." Thesis, This resource online, 1990. http://scholar.lib.vt.edu/theses/available/etd-11012008-063423/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Athikulwongse, Krit. "Placement for fast and reliable through-silicon-via (TSV) based 3D-IC layouts." Diss., Georgia Institute of Technology, 2012. http://hdl.handle.net/1853/45783.

Full text
Abstract:
The objective of this research is to explore the feasibility of addressing the major performance and reliability problems or issues, such as wirelength, stress-induced carrier mobility variation, temperature, and quality trade-offs, found in three-dimensional integrated circuits (3D ICs) that use through-silicon vias (TSVs) at placement stage. Four main works that support this goal are included. In the first work, wirelength of TSV-based 3D ICs is the main focus. In the second work, stress-induced carrier mobility variation in TSV-based 3D ICs is examined. In the third work, temperature inside TSV-based 3D ICs is investigated. In the final work, the quality trade-offs of TSV-based 3D-IC designs are explored. In the first work, a force-directed, 3D, and gate-level placement algorithm that efficiently handles TSVs is developed. The experiments based on synthesized benchmarks indicate that the developed algorithm helps generate GDSII layouts of 3D-IC designs that are optimized in terms of wirelength. In addition, the impact of TSVs on other physical aspects of 3D-IC designs is also studied by analyzing the GDSII layouts. In the second work, the model for carrier mobility variation caused by TSV and STI stresses is developed as well as the timing analysis flow considering the stresses. The impact of TSV and STI stresses on carrier mobility variation and performance of 3D ICs is studied. Furthermore, a TSV-stress-driven, force-directed, and 3D placement algorithm is developed. It exploits carrier mobility variation, caused by stress around TSVs after fabrication, to improve the timing and area objectives during placement. In addition, the impact of keep-out zone (KOZ) around TSVs on stress, carrier mobility variation, area, wirelength, and performance of 3D ICs is studied. In the third work, two temperature-aware global placement algorithms are developed. They exploit die-to-die thermal coupling in 3D ICs to improve temperature during placement. In addition, a framework used to evaluate the results from temperature-aware global placements is developed. The main component of the framework is a GDSII-level thermal analysis that considers all structures inside a TSV-based 3D IC while computing temperature. The developed placers are compared with several state-of-the-art placers published in recent literature. The experimental results indicate that the developed algorithms help improve the temperature of 3D ICs effectively. In the final work, three block-level design styles for TSV-based die-to-wafer bonded 3D ICs are discussed. Several 3D-IC layouts in the three styles are manually designed. The main difference among these layouts is the position of TSVs. Finally, the area, wirelength, timing, power, temperature, and mechanical stress of all layouts are compared to explore the trade-offs of layout quality.
APA, Harvard, Vancouver, ISO, and other styles
32

Zhu, Yongdong. "Parasitic-aware design and layout for RF CMOS analogue integrated circuits." Thesis, University of Essex, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.442535.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Phillips, Shawn A. "Automating layout of reconfigurable subsystems for systems-on-a-chip /." Thesis, Connect to this title online; UW restricted, 2004. http://hdl.handle.net/1773/5979.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

ROTA, LUCIANO. "Implementation and Validation Methods for Electronic Integrated Circuits and Devices." Doctoral thesis, Università degli Studi di Milano-Bicocca, 2023. https://hdl.handle.net/10281/404776.

Full text
Abstract:
Negli ultimi tre decenni l'elettronica delle telecomunicazioni mobili ha subito un grande miglioramento, questo ramo dell'elettronica si è rivelato una delle principali forze trainanti nello sviluppo delle nuove tecnologie CMOS. in tutto il mondo richiedono dispositivi portatili estremamente performanti, più veloci, più affidabili, a basso consumo energetico. Questa situazione è diventata estremamente favorevole per lo sviluppo di dispositivi digitali ad alte prestazioni in grado di raggiungere velocità e capacità di memoria prima incredibili. Anche i blocchi di costruzione analogici devono essere integrati in nodi profondamente ridimensionati, al fine di adattarsi ai circuiti integrati digitali . Il primo compito di questo lavoro di tesi è stata l'implementazione e la misurazione di diversi circuiti integrati in due nodi tecnologici profondamente scalati come CMOS bulk a 28 nm e FinFET (Fin Field Effect Transistor) a 16 nm. In particolare, il secondo di questi introduce novità sulla struttura del transistor utilizzato per implementare i circuiti. Ciascun circuito realizzato incontra diverse difficoltà dovute al particolare comportamento di tali tecnologie avanzate, in particolare in termini di basso intrinsic gain e basso output voltage swing come conseguenza della bassa tensione di alimentazione. Ho lavorato nel progetto FinFET16 con il compito principale di realizzare e validare il layout di un filtro analogico Super-Source-Follower fully-differential del 4° ordine. Dopo le misurazioni, il filtro raggiunge 15,1 dBm IIP3 in banda a 10 MHz e toni di ingresso 11 MHz, con un consumo energetico di 968 µW da una singola tensione di alimentazione da 1 V. Il rumore integrato in banda è 85,78 µVrms per una figura di merito complessiva di 162,8 dB (j-1) che supera lo stato dell'arte dei filtri analogici. Ho anche collaborato come layoutista in altri due progetti realizzati con tecnologia CMOS a 28 nm. Il primo è stato il progetto PRIN Brain28nm che riguarda l'implementazione di una catena di acquisizione del segnale neurale. L'obiettivo di questo lavoro era la realizzazione di un biosensore che utilizza la struttura EOMOSFET con il nodo tecnologico CMOS a 28 nm. L'utilizzo di questa tecnologia rende questo circuito più competitivo rispetto ai biosensori presenti in letteratura. L'ultimo progetto è stato il progetto Pignoletto realizzato in collaborazione con RedCat Devices. Esso riguarda l'implementazione e l'analisi teorica di due diverse tipologie di circuiti integrati misurati sotto irraggiamento: due celle digitali e un convertitore da analogico a digitale. Nella seconda parte del mio terzo anno ho iniziato un'attività lavorativa presso la sede di Pavia della AMS come validation engineer. Questa azienda è leader mondiale nel campo dell'Automotive Interior Lightning. ll progetto che sto portando avanti prevede la realizzazione di un setup di validazione per un IC, al fine di verificare il corretto svolgimento delle molteplici funzioni per le quali questo chip è progettato. Una prima analisi, utile allo studio preliminare per la realizzazione del setup, è stata effettuata attraverso l'utilizzo di un FPGA su cui è stato caricato il codice che realizza la parte logica dell'IC utilizzando il software Quartus. Una volta validato il corretto funzionamento dell'FPGA, attraverso l'utilizzo di un microcontrollore STM32, sono state testate e correttamente validate diverse configurazioni e funzioni. Lo scopo finale di questa attività, che proseguirà nei prossimi mesi, è la validazione di alcune modalità di comunicazione tra diversi dispositivi, fondamentali per l'interfaccia dell'IC con gli standard automotive, e la creazione di una versione aggiornata del codice FPGA e della sua successiva verifica. Questa attività sembra essere una novità nel campo del design di circuiti integrati perché potrebbe permettere di evidenziare eventuali problemi.
In the last three decades Mobile Telecommunication (TLC) electronics has undergone a great improvement, this limited branch of electronics proved to be one of the major driving motor in the development of the new Complementary Metal-Oxide-Semiconductor (CMOS) technologies. People all around the world ask for extremely performing portable devices, faster, more reliable, low power consuming and with impressive memory capability. This situation has become extremely favorable for the development of high performance digital devices which are able to reach speed and memory capability previously unbelievable. Also analog building blocks must be integrated in deeply down-scaled node, in order to adapt with digital integrated circuits (ICs). First task of this thesis work was the implementation and measurement of different integrated circuits in two deep sub-micron technology nodes as 28nm bulk-CMOS and 16nm FinFET (Fin Field Effect Transistor). In particular the second one of these introduces novelty about the structure of transistor used to implement the circuits. Each circuit created faces various difficulties due to the particular behaviour of such advanced technologies, in particular in terms of low intrinsic gain and limited signal swing as consequence of low supply voltage. I worked in FinFET16 project with the main task to realize and validate the layout of a 4^th Order Fully-Differential Super-Source-Follower Analog Filter. After measurements the filter achieves 15.1 dBm in-band IIP3 at 10 MHz & 11 MHz input tones, with 968 µW power consumption from a single 1V supply voltage. In-band integrated noise is 85.78 µVrms for an overall Figure-of-Merit of 162.8 dB (j-1) which outperforms analog filters State-of-the-Art. I also collaborated as layoutist in other two projects realized with 28nm CMOS technology. The first one was the PRIN Brain28nm project that concerns the implementation of a neural signal acquisition chain. The goal of this work was the realization of a biosensor that uses the EOMOSFET structure with the 28nm CMOS technological node. The use of this technology makes this circuit more competitive when compared to the biosensors present in literature. The last one was Pignoletto project realized in collaboration with RedCat Devices. It concerns the implementation and theorical analysis of two different typologies of ICs measured under radiation: two digital cells and one Analog to Digital Converter. Under radiation measurements will be realize in January 2023. In the second part of my third year I started a work activity in Pavia site of AMS-Osram S.r.l as validation engineer. This company is a world leader in the field of optical sensors and the application of the latter in the automotive sector. The project I am carrying out involves the creation of a validation setup for an IC, in order to verify the correct performance of the multiple functions for which this chip is designed. A first analysis, useful for the preliminary study for the realization of the setup, was carried out through the use of an FPGA (Cyclone1000) on which the code that realizes the logic part of the IC was loaded using the Quartus software. Once the correct operation of the FPGA was validated, through the use of an STM32 micro-controller, various configurations and functions have been tested and correctly validated. The final purpose of this activity, which will continue in the coming months, is the validation of some communication methods between different devices, fundamental for the interface of the IC with automotive standards, and the creation of an updated version of the FPGA code and its subsequent verification. This activity appears to be a novelty in the field of integrated circuit design as it would allow to highlight problems and malfunctions of the circuit.
APA, Harvard, Vancouver, ISO, and other styles
35

Hubscher, Pedro Inacio. "Avaliação de desempenho de partes de controle de circuitos integrados." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 1992. http://hdl.handle.net/10183/26548.

Full text
Abstract:
Este trabalho objetiva o estudo da avaliação de desempenho de partes de controle de circuitos integrados, em relação ao consumo de área em silício e atraso de propagação de sinais. Para a implementação são adotados dois diferentes estilos de leiaute (PLA e gate matrix). Para ambos os casos foi utilizado um conjunto único de regras de projeto. A análise dos circuitos visando implementação com PLA 6 é feita com base em estimativas de área e atraso deste, sendo definidas as suas células básicas. Para gate matrix, é feita a síntese de leiaute com um gerador automático de leiaute para circuitos em lógica aleatória e o atraso é estimado por modelo simplificado. A avaliação elétrica para calcular o atraso dos sinais é baseada em modelos simplificados de timing, previamente estudados, que levam em conta elementos parasitas das redes de transistores. São analisadas partes de controle de sistemas reais e máquinas de estados finitos hipotéticas. O trabalho visa propor a melhor estratégia de implementação, através da previsão do desempenho dos circuitos, em função do tamanho e complexidade (em número de portas e sinais de interface) do circuito.
The subject of this work is the performance analysis of control parts of integrated circuits, as a function of silicon area and signals propagation delay. Two different layout styles are used for implementation (PLA and gate matrix). Both of them use the same design rules. The analysis of the circuits implemented with PLA is based on area and delay estimation, with the basic cells already defined. For gate matrix, the layout synthesis is made with an automatic layout generator for random logic circuits and the delay is estimated by simplified models. The electrical evaluation to compute the delay signal is based on simplified timing models, previously studied, taking into account parasitic elements of the transistor networks. Control parts of real systems and finite state machines are analysed. This work aims to select the best implementation strategy, based on performance estimation, as a function of the size and complexity (gates and interface signals) of the circuit.
APA, Harvard, Vancouver, ISO, and other styles
36

Moraes, Fernando Gehm. "Synthese topologique de macro-cellules en technologie cmos." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 1994. http://hdl.handle.net/10183/17853.

Full text
Abstract:
Les problèmes majeurs de la génération automatique du dessin des masques des circuits intégrés sont la dépendance vis-à-vis des règles de dessin et le dimensionnement correct des transistors. Les méthodes traditionnelles, telles que l'utilisation de cellules pré-caractérisées, manquent de flexibilité, car les portes des bibliothèques (en nombre limité) sont dessinées et dimensionnées (independarnment de l'application) pour une technologie donnée. Les méthodes de synthèse automatique du dessin des masques ont pour but de surmonter ces problèmes. Les techniques les plus couramment utilisées sont le "gate-matrix" et le "linear-matrix". L'indépendance vis-à-vis des règles de dessin est obtenue en utilisant la technique de description symbolique (dessin sous une grille unitaire), et les dimensions des transistors sont définies par le concepteur ou par un outil de dimensionnement. Nous proposons une méthode et un prototype logiciel pour la synthèse automatique des masques, en utilisant le style "linear-matrix multi-bander". La description d'entree du générateur est un fichier format SPICE (au niveau transistor), ce qui permet d'avoir un nombre très élevé de cellules, en particulier les portes complexes (A01), et ainsi avoir une meilleure optimisation lors de la phase d'assignation technologique. Les macro-cellules générées doivent être assemblées afin de réaliser un circuit complet. Deux contraintes supplémentaires sont ainsi imposées au générateur: malléabilité de la forme et position des broches d'entrées/sorties sur la périphérie de la macro-cellule. Les macro-cellules sont assemblées en utilisant un environnement de conception industriel. Les contributions de ce mémoire de doctorat sont d'une part le développement d'un générateur de macro-cellules flexible ayant les caracteristiques d'indépendance aux règles de dessin et d'intégration dans un environnement de macro-cellules, et d'autre part l'étude detailée des paramètres qui déterminent la surface occupée, les performances électriques et la puissance dissipée des macro-cellules générées automatiquement.
The main problems of the automatic layout synthesis are the design rules dependence and the transistor sizing. The traditional layout synthesis methods, like standard-cells, are not flexible, since the cells in the libraries are designed and sized for a specific technology. In this way, the designer must change his library at each technology improvement. The automatic layout synthesis methods overcomes these problems (design rules dependence and transistor sizing). Examples of layout styles are gate-matrix and linear-matrix. The technology independence is achieved by symbolic description (layout under an unitary grid), and the transistor sizes are defined by the designer or by a sizing tool. From these two constraints, we develop an automatic layout synthesis tool, using a linear-matrix multi-row layout style. The input description for our tool is a Spice file. This descriptions allows to define a greater number of cells (mainly AOIs gates), resulting a technology mapping with less constraints. The generated macro-cells must be assembled in order to construct a complete circuit. Two additional constraints are then imposed to the generator : variable aspect ratio and placement of the inputs/outputs pins in the macro-cell border. The macro-cells are assembled by an industrial CAD environment. The main contributions of this thesis are the development of a macro-cell generator (with the characteristics of technology independence and easy integration in a macro-cell environment) and the analysis of the parameters playing a role in the area, delay and power consumption.
APA, Harvard, Vancouver, ISO, and other styles
37

Bagchi, Tanuj. "An Efficient Hybrid Heuristic and Probabilistic Model for the Gate Matrix Layout Problem in VLSI Design." Thesis, University of North Texas, 1993. https://digital.library.unt.edu/ark:/67531/metadc500878/.

Full text
Abstract:
In this thesis, the gate matrix layout problem in VLSI design is considered where the goal is to minimize the number of tracks required to layout a given circuit and a taxonomy of approaches to its solution is presented. An efficient hybrid heuristic is also proposed for this combinatorial optimization problem, which is based on the combination of probabilistic hill-climbing technique and greedy method. This heuristic is tested experimentally with respect to four existing algorithms. As test cases, five benchmark problems from the literature as well as randomly generated problem instances are considered. The experimental results show that the proposed hybrid algorithm, on the average, performs better than other heuristics in terms of the required computation time and/or the quality of solution. Due to the computation-intensive nature of the problem, an exact solution within reasonable time limits is impossible. So, it is difficult to judge the effectiveness of any heuristic in terms of the quality of solution (number of tracks required). A probabilistic model of the gate matrix layout problem that computes the expected number of tracks from the given input parameters, is useful to this respect. Such a probabilistic model is proposed in this thesis, and its performance is experimentally evaluated.
APA, Harvard, Vancouver, ISO, and other styles
38

Sen, Padmanava. "Estimation and optimization of layout parasitics for silicon-based millimeter-wave integrated circuits." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2007. http://hdl.handle.net/1853/26585.

Full text
Abstract:
Thesis (Ph.D)--Electrical and Computer Engineering, Georgia Institute of Technology, 2008.
Committee Chair: Dr. Joy Laskar; Committee Member: Dr. Chang- Ho Lee; Committee Member: Dr. Federico Bonetto; Committee Member: Dr. John D. Cressler; Committee Member: Dr. John Papapolymerou; Committee Member: Dr. Linda S. Milor. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
39

Roberts, Rebecca Mimi Catherina. "Automated parameter extraction for Single Flux Quantum integrated circuits with LVS." Thesis, Stellenbosch : Stellenbosch University, 2015. http://hdl.handle.net/10019.1/96992.

Full text
Abstract:
Thesis (MEng)--Stellenbosch University, 2015.
ENGLISH ABSTRACT: Thorough layout verification of superconductor integrated circuits goes beyond design rule checking and parameter value extraction. The former is used to verify adherence to process design rules, and the latter to determine the element values of components such as inductors and resistors and Josephson junction critical currents. Still, neither gives much warning against subtle layout errors that could result in unintended parasitic elements, or a circuit that does not reflect the original circuit topology. A specialized implementation for Cadence Virtuoso allows layout-versus-schematic verification, but it is limited both to commercial software and in terms of its usefulness. Parameter extraction software such as InductEx is used to extract the component element values of a circuit from its layout if the circuit topology is provided as a netlist, which is mostly created by the designer. However, the element values are extracted for the supplied topology, even if a layout mistake such as creating a connection to the wrong node or a mistake in the netlist results in a model mismatch. After a failed verification, further diagnosis is required to determine whether the error is indeed in the layout or in the input topology - prolonging the verification process significantly. Here we present a free-standing layout-versus-schematic verification toolkit for superconductive integrated circuits, and discuss its implementation after systematically considering the algorithms at its core. We demonstrate results of the layout-versus-schematic verification and how the layout-versus-schematic toolkit is used as a whole in conjunction with InductEx to perform automated parameter extraction for cell-level layout verification. The current version of this toolkit provides the user with three stand-alone tools that are best used in conjunction with InductEx: A GDSII file flattener, a layout-to-schematic netlist extractor (with the option of viewing a pictorial reconstruction of the netlist and schematic) and a netlist comparison tool by which the user can determine whether a layout agrees with an input schematic. We conclude that the netlist comparison and viewing tool provides a valuable method for expediting the layout verification process, making it more efficient and minimizing the chances of mistakes. In its current form the layout-to schematic tool is still limited in that it cannot yet fully support circuits with mutual coupling. Although many improvements can still be made to this toolkit, the implemented version of these tools can already provide great benefit to Rapid Single Flux quantum (RSFQ) cell designers.
AFRIKAANSE OPSOMMING: Deeglike uitleg verifikasie van supergeleier geïntegreerde stroombane strek verder as bloot die nasien van ontwerpreëls en die onttrekking van parameter waardes. Eersgenoemde word gebruik om vas te stel of daar voldoen word aan die proses se ontwerpreëls, en laasgenoemde om die waardes van komponente soos induktors en resistors en die kritiese strome van Josephson aansluitings te bepaal. Nogtans bied nie een van hulle veel waarskuwing teen subtiele uitlegfoute wat onbeplande parasitiese elemente kan veroorsaak nie, of teen ‘n stroombaan wat nie die oorspronklike stroombaan topologie weerspieël nie. ‘n Gespesialiseerde implementasie van Cadence Virtuoso maak LVS (layout-versus-schematic) verifikasie moontlik, maar dit is beperk tot kommersiële sagteware en ook beperk in terme van bruikbaarheid. Parameter onttrekking sagteware soos InductEx word gebruik om waardes van die komponent-elemente van ‘n stroombaan vanuit die uitleg te onttrek wanneeer die stroombaan topologie as ‘n netlist, wat meestal deur die ontwerper geskep is, voorsien word. Die elementwaardes word egter onttrek volgens die topologie wat verskaf is, al is daar uitlegfoute, soos byvoorbeeld wanneer ‘n koppeling met ‘n verkeerde node plaasvind, of wanneer daar netlist foute is wat modelteenstrydighede veroorsaak. Na ‘n mislukte verifikasie poging word verdere diagnostiese stappe gedoen om te bepaal of die fout in die uitleg lê, of in die spesifieke topologie wat verskaf is, wat natuurlik die verifikasieproses aansienlik verleng. Hier stel ons ‘n vrystaande LVS verifikasie sagteware-pakket vir supergeleier geïntegreerde stroombane bekend, en bespreek, deur middel van die algoritmes wat die kern daarvan uitmaak, die implementering van hierdie sagteware-toestel. Ons bied die resultate van die LVS verifikasie aan en wys hoe die LVS sagteware toestel as geheel saam met InductEx gebruik kan word om automatiese parameter uittrekking vir sel-vlak uitleg verifikasie te berwerkstellig. Die huidige weergawe van die pakket bied die verbruiker drie alleenstaande programme wat verkieslik saam met InductEx gebruik moet word: ‘n GDSII “file flattener”, ‘n uitleg-tot-schematiese diagram netlist ekstraktor (met die opsie om ‘n herkonstruktueerde beeld van netlist en skematiese diagram te besigtig) en ‘n netlist vergelyking toestel waarmee die verbruiker kan vasstel of ‘n uitleg met ‘n oorspronklike skematiese diagram ooreenstem. Ons lei af dat die netlist vergelyking toestel ‘n waardevolle metode bied om die uitleg verifikasie proses te bespoedig en vergemaklik en die kanse van foute te minimaliseer. In sy huidige vorm is die uitleg-tot-skematiese diagram toestel beperk omdat dit nog nie stroombane met koppeling kan steun nie.
APA, Harvard, Vancouver, ISO, and other styles
40

Zampronho, Neto Fernando. "Analise, projeto e layout de uma topologia de circuito regulador de tensão para aplicação em microprocessadores." [s.n.], 2009. http://repositorio.unicamp.br/jspui/handle/REPOSIP/259236.

Full text
Abstract:
Orientadores: Jacobus Willibrordus Swart, Jader Alves de Lima Filho
Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação
Made available in DSpace on 2018-08-15T17:45:20Z (GMT). No. of bitstreams: 1 ZampronhoNeto_Fernando_M.pdf: 5842798 bytes, checksum: 248329a719c06d1a00d97f94590f1b92 (MD5) Previous issue date: 2009
Resumo: Este trabalho tem como objetivo o estudo de uma arquitetura de regulador de tensão do tipo multi-fase para alimentação de microprocessadores, os quais demandam pequena variação em sua tensão, mesmo face aos seus agressivos transitórios de corrente. O estudo engloba a análise, que descreve as vantagens e desvantagens de topologias de reguladores chaveados, o projeto, a simulação, a fabricação e a caracterização experimental do regulador. Na etapa de projeto, uma nova abordagem no dimensionamento do filtro externo LC é apresentada, considerando-se seus respectivos elementos parasitas, a partir da introdução do parâmetro .fator de não idealidade., ou n, que é compreendido no intervalo [0, 1]. Quanto mais n se aproxima da unidade, menores serão os elementos parasitas do filtro, facilitando a escolha dos capacitores e indutores no mercado. Adicionalmente, é proposta uma técnica de projeto do compensador em freqüência, aplicada em topologias realimentadas por tensão. Esta consiste na soma de sua tensão de saída com a diferença de potencial entre dois de seus nós internos, que ocorre apenas durante o transitório de carga, reduzindo o tempo de resposta do regulador. Simulações mostraram uma queda de mais de 25% na ondulação da tensão de carga utilizando esta técnica, em comparação com a solução convencional. O processo, simulador e modelos utilizados neste trabalho são, respectivamente, o AMS H35, PSPICE e Bsim3v3. O layout do regulador foi feito via Mentor Graphics e possui área efetiva de 0,444mm2. A fabricação na foundry AMS foi viabilizada pelo programa multi-usuário da FAPESP. A caracterização experimental compara o tempo de resposta do regulador nas mesmas condições da etapa de simulação. Resultados experimentais indicaram uma redução de 96,1% na ondulação da tensão de carga durante seu transitório de corrente utilizando a técnica proposta, em comparação a solução convencional, validando a nova técnica de projeto do compensador em freqüência. O presente trabalho é concluído enfatizando-se os objetivos alcançados e principais resultados experimentais obtidos, dificuldades de projeto e limitações da arquitetura do regulador chaveado estudada
Abstract: This work aims to study the topology of multi-phase voltage regulators applied to microprocessors, where only tiny variations in the supply voltage are allowed, even when facing aggressive current transients. This study consists in the analysis, which describes the advantages and disadvantages of switched voltage regulator topologies, design, simulation, layout and experimental characterization of the proposed regulator. In the design phase, a new approach in sizing the external LC filter is herein described, considering their stray elements, through the introduction of the .non ideality. parameter, or n, which is valid within interval [0,1]. As more as n approaches unity, less parasitic elements the filter will have, easing the choice of the capacitors and inductors commercially available. In addition to this, a new technique applied to voltage feedback topologies is proposed, which consists in adding the output voltage of the frequency compensator to a voltage between two of its internal nodes. With such an approach, the response time of the regulator to load transients decreases. Simulation results show a reduction over 25% in the output voltage ripple using this new approach, when comparing to the traditional solution. The process, simulator and models used in this work are, respectively, AMS H35, PSPICE and Bsim 3v3. The layout of the regulator was edited through Mentor Graphics, and it has an effective area of 0.444mm2. The fabrication in foundry AMS was done by multi-user program of FAPESP. The experimental characterization compares the response time of the regulator in the same conditions of simulation phase. Experimental results indicated a 96,1% reduction in load voltage ripple during transient, when comparing the purposed technique with the traditional solution, validating the excellent performance of the regulator with the new design technique. This work is concluded by emphasizing the reached objectives and main experimental results reached, design difficulties and limitations of the switched-regulator architecture studied
Mestrado
Eletrônica, Microeletrônica e Optoeletrônica
Mestre em Engenharia Elétrica
APA, Harvard, Vancouver, ISO, and other styles
41

Robinson, David Lyle. "Automatic Synthesis of VLSI Layout for Analog Continuous-time Filters." PDXScholar, 1995. https://pdxscholar.library.pdx.edu/open_access_etds/4913.

Full text
Abstract:
Automatic synthesis of digital VLSI layout has been available for many years. It has become a necessary part of the design industry as the window of time from conception to production shrinks with ever increasing competition. However, automatic synthesis of analog VLSI layout remains rare. With digital circuits, there is often room for signal drift. In a digital circuit, a signal can drift within a range before hitting the threshold which triggers a change in logic state. The effect of parasitic capacitances for the most part, hinders the timing margins of the signal, but not its functionality. The logic functionality is protected by the inherent noise immunity of digital circuits. With analog circuits, however, there is little room for drift. Parasitic influence directly affects signal integrity and the functionality of the circuit. The underlying problem automatic VLSI layout programs face is how to minimize this influence. This thesis describes a software tool that was written to show that the minimization of parasitic influence is possible in the case of automatic layout of continuous-time filters using transconductance-capacitor methods.
APA, Harvard, Vancouver, ISO, and other styles
42

Kim, Cheongbu. "One-dimensional compaction strategy for VLSI symbolic layout system." Ohio : Ohio University, 1988. http://www.ohiolink.edu/etd/view.cgi?ohiou1182804901.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Grover, Samir. "Solving layout compaction and wire-balancing problem using linear programming on the Monsoon multiprocessor." Thesis, Connect to online version, 1995. http://0-wwwlib.umi.com.mercury.concordia.ca/cr/concordia/fullcit?pMQ90885.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Matherat, Philippe. "Contribution à l'augmentation de puissance des architectures de visus graphiques." Phd thesis, Université Pierre et Marie Curie - Paris VI, 1988. http://tel.archives-ouvertes.fr/tel-00172858.

Full text
Abstract:
La motivation de ce travail est la réalisation de circuits permettant d'afficher rapidement des images sur un écran d'ordinateur. Voici dix ans, nous avons proposé un circuit LSI, prenant en charge la gestion d'une mémoire d'image et l'écriture rapide de segments de droite et de caractères, dans une optique de "terminal graphique". Nous avons ensuite cherché à augmenter les performances de cette architecture et à l'adapter à l'environnement "station de travail". Nous sommes aujourd'hui convaincu que la solution ne passe pas par des circuits spécialisés, mais par la définition d'opérateurs généraux de calcul très puissants. Pour expliquer cet itinéraire, nous décrivons une suite d'expérimentations réalisées, précédée par une histoire des architectures de visualisation.
APA, Harvard, Vancouver, ISO, and other styles
45

Cui, Xian. "Efficient radio frequency power amplifiers for wireless communications." Columbus, Ohio : Ohio State University, 2007. http://rave.ohiolink.edu/etdc/view?acc%5Fnum=osu1195652135.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

SHEN, DING-HONG, and 沈定宏. "Integrated Circuit Layout Implementation of ECG Analog Front-end Circuits." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/w8d58k.

Full text
Abstract:
碩士
中華大學
電機工程學系
107
This thesis complete pre-layout simulations and circuit layouts of a single-lead and a multi-lead ECG analog front-end circuits. Our proposed ECG analog front-end circuit includes an instrumentation amplifier, a band-pass filter, and a post-amplifier. Besides, we use a driving right Leg (DRL) circuit to filter out common mode interference. At first, we create an operational amplifier (OPA). Subsequently, we based on this OPA to construct a single-lead and a multi-lead ECG analog front-end circuit. We used the UMC 0.18um 2P6M CMOS process provided by National Applied Research Laboratories (NAR Labs) / Taiwan Semiconductor Research Institute (TSRI) to complete our proposed ECG analog front-end circuits. At present, pre-layout simulations and layout designs of single-lead and three-lead ECG analog front-end integrated circuits have completed. Integrating multi-lead ECG analog front-end integrated circuit components and embedded microcontrollers with built-in analog-to-digital converters to construct multi-lead ECG measurement systems can significantly reduce the volume of the systems. The results of our work will be a part of multi-lead ECG measurement system-on-chip (SoC) in the future.
APA, Harvard, Vancouver, ISO, and other styles
47

Lu, Yang. "Iris : an integrated circuit layout automatic generator." Thesis, 2003. http://hdl.handle.net/2429/14573.

Full text
Abstract:
In integrated circuit design, layout generation is tedious, time-consuming and error-prone. Motivated by seeking an alternative to manual layout design, I implmented a CAD tool, Iris, dedicating to layout generation automation. By using Iris, the designer describes the circuit netlist and relative placement of each transistor and signal in the high level language Java. Iris works out the details of every design stage and produces the final layout. Experimental results show that Iris generates layouts which are comparable to manual layouts with much less effort by the designer.
APA, Harvard, Vancouver, ISO, and other styles
48

嚴旭民. "Analysis and Integrated Circuit Layout of EEG Analog Front-end Circuits." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/92h33p.

Full text
Abstract:
碩士
中華大學
電機工程學系
107
The purpose of this thesis is to design a better efficiency EEG analog front-end circuit with compact size. We used the UMC 0.18um 2P6M CMOS process provided by National Applied Research Laboratories (NAR Labs) / Taiwan Semiconductor Research Institute (TSRI) to implement the analog front-end circuit of EEG machines. Due to the reduction in the size of the circuit, it can be applied to a wearable EEG machine in the future. This thesis consists of two parts, the first part is design of operational amplifiers, and the second part is to use this design of operational amplifiers to construct EEG analog front-end circuits. In the future, we will add an analog-to-digital converter (ADC) to our EEG analog front-end circuits to convert the output into a digital signal. As a result, subsequent application systems will be made easier to design.
APA, Harvard, Vancouver, ISO, and other styles
49

CHEN, YU-YUAN, and 陳譽元. "Analysis and discussion of integrated circuit layout industry." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/8wsm4y.

Full text
Abstract:
碩士
明新科技大學
電機工程系碩士在職專班
105
The integrated circuit (IC) industry is one of the main driving forces to promote the development of science and technology in Taiwan. However, with the economic downturn and competition, the market is gradually changing and shrinking, to maintain the continuous growth and development of the integrated circuit industry. , In addition to resources and capital investment, the state for the cultivation of talent and technology to enhance the more important key. After analysis and research that our country IC semiconductor industry overall growth performance in the calendar year, are better than the overall global semiconductor industry, due to the conduction of the semiconductor IC industry, the level of professional development to create a division of the highly competitive. Therefore, China's semiconductor IC design layout should be more emphasis on training personnel to develop, from IC design flow in the circuit, the IC layout engineer to become IC design talents to enhance industrial competitiveness, so Layout House Extension silicon technology, for example, learn effective human resources outsourcing by enhancing the competitiveness of enterprises.
APA, Harvard, Vancouver, ISO, and other styles
50

Lin, Lan. "An automatic layout generator for integrated circuit design." Thesis, 2001. http://hdl.handle.net/2429/11828.

Full text
Abstract:
In integrated circuit design, one of the most tedious and time-consuming steps is the generation of the layout. During the last decade, considerable effort has been invested in the development of CAD tools dedicated to the automation of this step. This effort has been largely motivated by a need for alternatives to manual layout to greatly reduce the development time and cost. This thesis describes my contribution through the implementation of a flexible and automatic integrated circuit layout generator. With this tool, the designer only needs to depict the circuit at a high level, while the tool works out the details of the design and produces the final layout. In comparison with most of the current layout synthesis tools, my tool aims to realize the generality while still preserving most of the efficiency of the hand design, and facilitate greater reuse. The solution is based on constraint solving. The tool is written in Java. Two architectural styles are followed in the whole design, call-and-return and object-oriented. Experimental results demonstrate the effectiveness of the tool in generating layouts comparable to manual designs, with very quick turn-around time and no manual intervention.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography