Academic literature on the topic 'Interconnect noise'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Interconnect noise.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Interconnect noise"

1

Xu, Peng, Zhongliang Pan, and Zhenhua Tang. "The Ultra-Low-k Dielectric Materials for Performance Improvement in Coupled Multilayer Graphene Nanoribbon Interconnects." Electronics 8, no. 8 (July 31, 2019): 849. http://dx.doi.org/10.3390/electronics8080849.

Full text
Abstract:
The ultra-low-k dielectric material replacing the conventional SiO2 dielectric medium in coupled multilayer graphene nanoribbon (MLGNR) interconnects is presented. An equivalent distributed transmission line model of coupled MLGNR interconnects is established to derive the analytical expressions of crosstalk delay, transfer gain, and noise output for 7.5 nm technology node at global level, which take the in-phase and out-of-phase crosstalk into account. The results show that by replacing the SiO2 dielectric mediums with the nanoglass, the maximum reduction of delay time and peak noise voltage are 25.202 ns and 0.102 V for an interconnect length of 3000 µm, respectively. It is demonstrated that the ultra-low-k dielectric materials can significantly reduce delay time and crosstalk noise and increase transfer gain compared with the conventional SiO2 dielectric medium. Moreover, it is found that the coupled MLGNR interconnect under out-of-phase mode has a larger crosstalk delay and a lesser transfer gain than that under in-phase mode, and the peak noise voltage increases with the increase of the coupled MLGNR interconnect length. The results presented in this paper would be useful to aid in the enhancement of performance of on-chip interconnects and provide guidelines for signal characteristic analysis of MLGNR interconnects.
APA, Harvard, Vancouver, ISO, and other styles
2

Das, Debaprasad, and Hafizur Rahaman. "Investigating the Applicability of Graphene Nanoribbon as Signal and Power Interconnects for Nanometer Designs." Journal of Circuits, Systems and Computers 25, no. 02 (December 23, 2015): 1650001. http://dx.doi.org/10.1142/s0218126616500018.

Full text
Abstract:
In this work, we have investigated the applicability of graphene nanoribbon (GNR) as the interconnects for 16-nm ITRS technology node. GNR is proposed as the possible alternative to the traditional copper (Cu)-based interconnect systems in nanometer regime. In this paper, we have performed important studies on GNR for its applicability as power and signal interconnects. For the application of power interconnects, we have investigated the power supply voltage drop (IR drop) and simultaneous switching noise (SSN) in graphene-based interconnect system. We have performed crosstalk noise and overshoot/undershoot analyses for the application of signal interconnects. The results are compared with that of the traditional Cu-based interconnects. The results show that GNR is better than Cu as far as IR drop, SSN, gate oxide reliability and hot carrier reliability are concerned. Our investigation reveals that GNR can be better than the Cu interconnects from all aspects with a multilayer GNR structure. The present graphene-based interconnect technology needs to be advanced, so that the metal–graphene contact resistance is minimized and multilayer GNR structure with large number of graphene layers is supported.
APA, Harvard, Vancouver, ISO, and other styles
3

Chanu, Waikhom Mona, and Debaprasad Das. "Modeling and Performance Analysis of MLGNR Interconnects." Journal of Circuits, Systems and Computers 27, no. 14 (August 23, 2018): 1850214. http://dx.doi.org/10.1142/s0218126618502146.

Full text
Abstract:
In this work, we have presented the temperature-dependent analytical time domain model for top-contact multilayer graphene nanoribbon (TC-MLGNR) and side-contact multilayer graphene nanoribbon (SC-MLGNR) interconnects for 16[Formula: see text]nm technology node. Using this analytical model, the effective mean free path (MFP) is calculated for different temperatures and then the resistance of GNR interconnect is calculated. The lower resistance of MLGNR is one of the important factors to reduce interconnect delay. The equivalent capacitance for TC-MLGNR is also calculated. It is observed that the performance of graphene interconnects seriously deteriorates due to the presence of the interlayer capacitance. The presence of this interlayer capacitance increases the equivalent capacitance which is the dominant factor that inhibits the performance of TC-MLGNR interconnects. Further, the delay ratio between copper and TC-MLGNR for different interconnect lengths and for three different temperatures (233[Formula: see text]K, 300[Formula: see text]K, 378[Formula: see text]K) is calculated. It is observed that for longer interconnect lengths, the improvement in delay in TC-MLGNR is less as compared to traditional copper-based interconnect at low temperature. Further, power delay product (PDP) of copper and TC-MLGNR for different interconnect lengths and for three different temperatures is also calculated. It is shown that TC-MLGNR interconnects have better PDP than copper interconnects. The crosstalk analysis is performed to estimate the noise and overshoot/undershoot in TC-MLGNR and SC-MLGNR interconnects. It is shown that SC-MLGNR interconnect has better performance as far as the crosstalk is concerned as compared to that of Cu and TC-MLGNR interconnects.
APA, Harvard, Vancouver, ISO, and other styles
4

Vrudhula, S., D. T. Blaauw, and S. Sirichotiyakul. "Probabilistic analysis of interconnect coupling noise." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22, no. 9 (September 2003): 1188–203. http://dx.doi.org/10.1109/tcad.2003.816212.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Tsong-Ming Chen and A. M. Yassine. "Electrical noise and VLSI interconnect reliability." IEEE Transactions on Electron Devices 41, no. 11 (1994): 2165–72. http://dx.doi.org/10.1109/16.333837.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Rebelli, Shashank, and Bheema Rao Nistala. "A novel MRTD model for signal integrity analysis of resistive driven coupled copper interconnects." COMPEL - The international journal for computation and mathematics in electrical and electronic engineering 37, no. 1 (January 2, 2018): 189–207. http://dx.doi.org/10.1108/compel-12-2016-0521.

Full text
Abstract:
Purpose This paper aims to model the coupled on-chip Copper (Cu) interconnects by using the multiresolution time-domain (MRTD) method. Design/methodology/approach The proposed model is a wavelet-based numerical method for analyzing signal integrity and propagation delay of coupled on-chip interconnects. Moreover, the dependency of crosstalk noise and delay on coupling parasitics (L12, C12) are analyzed. Findings The proposed MRTD method captures the behaviour of propagation delay and peak crosstalk noise on victim line against coupling parasitics, which is in close agreement with that of H simulation program with integrated circuit emphasis (HSPICE). The average error for the proposed model is less than 1 per cent with respect to HSPICE for the estimation of peak crosstalk noise voltage. Practical implications Simulations are performed using HSPICE and compared with those performed using the proposed MRTD method for global interconnect length with 130-nm technology, where the computations of the proposed model are carried out using Matlab. Originality/value The MRTD method with its unique features is tailored for modelling interconnects. To build further credence to this and its profound existence in the latest state-of-art works, simulations of crosstalk noise and propagation delay, for coupled Cu interconnect lines, using MRTD and finite-difference time-domain (FDTD) are executed. The results illustrated the dominance of MRTD method over FDTD in terms of accuracy.
APA, Harvard, Vancouver, ISO, and other styles
7

Bhattacharya, Sandip, Debaprasad Das, and Hafizur Rahaman. "Analysis of Simultaneous Switching Noise and IR-Drop in Side-Contact Multilayer Graphene Nanoribbon Power Distribution Network." Journal of Circuits, Systems and Computers 27, no. 01 (August 23, 2017): 1850001. http://dx.doi.org/10.1142/s0218126618500019.

Full text
Abstract:
The work in this paper presents the analyses of temperature-dependent simultaneous switching noise (SSN) and IR-Drop in multilayer graphene nanoribbon (MLGNR) power interconnects for 16[Formula: see text]nm ITRS technology node. A [Formula: see text] standard cell-based integrated circuit is designed to analyze the SSN and IR-Drop using the proposed temperature-dependent model of MLGNR and Cu interconnect for 10[Formula: see text][Formula: see text]m interconnect length at temperatures (233[Formula: see text]K, 300[Formula: see text]K and 378[Formula: see text]K). Our analysis shows that MLGNR exhibits ([Formula: see text]–[Formula: see text]) less SSN and ([Formula: see text]–[Formula: see text]) less IR-Drop as compared with traditional Cu-based power interconnects. Our analysis also shows that the average percentage of reduction in peak SSN is 52–32% (at 233[Formula: see text]K), 53–32% (at 300[Formula: see text]K) and 52–30% (at 378[Formula: see text]K) less in MLGNR compared with traditional Cu-based power interconnect and the average percentage of reduction in peak IR-Drop in MLGNR is 54–31% (at 233[Formula: see text]K), 57–29% (at 300[Formula: see text]K) and 57–26% (at 378[Formula: see text]K) less than that of Cu-based power interconnects.
APA, Harvard, Vancouver, ISO, and other styles
8

Kumar, Ch Praveen, E. Sreenivasa Rao, and P. Chandra Sekhar. "A Novel Approach to Reduce the Crosstalk in Graphene Based Interconnects Using Ternary Logic." Journal of Computational and Theoretical Nanoscience 17, no. 12 (December 1, 2020): 5483–94. http://dx.doi.org/10.1166/jctn.2020.9443.

Full text
Abstract:
This paper presents a novel approach to reduce the impact of crosstalk in multi-layered GNR (MLGNR), single walled CNT (SWCNT), multiwalled CNT (MWCNT) and mixed CNT bundle (MCB) based three-line bus architecture system. The proposed system primarily comprises of active shielding, repeater insertion and asymmetric triggering of the input signal. At the far end of the bus architecture, the crosstalk induced noise and propagation delay of MLGNR, SWCNT, MWCNT and MCB interconnects have been analyzed with and without the impact of shielding. A standard ternary inverter (STI) driver model is used to obtain the ternary logic at the output. Using the specified output, a temperature dependent comparative analysis is also performed for MLGNR and bundled CNT interconnects with and without shielding. Using industry standard HSPICE circuit simulations, it can be observed that the MLGNR offers a lower paracitic values even in higher temperature in comparison to the SWCNT, MWCNT and MCB interconnects. It primarily leads to a lesser delay and crosstalk using a bus interconnect system. The analysis has also extended for delay and crosstalk analysis for different interconnect lengths and temperatures with an insertion of shielding, repeaters and asymmetric triggering of bus architecture system. Under these conditions, it is also proved that an MLGNR based bus architecture offers a lesser crosstalk induced delay and noise compared to CNT bundle interconnects.
APA, Harvard, Vancouver, ISO, and other styles
9

LI, Katherine Shu-Min, Yingchieh HO, and Liang-Bi CHEN. "Interconnect-Driven Floorplanning with Noise-Aware Buffer Planning." IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E96.A, no. 12 (2013): 2467–74. http://dx.doi.org/10.1587/transfun.e96.a.2467.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Liu, Xiaoxiao, Guangsheng Ma, Jingbo Shao, Zhi Yang, and Guanjun Wang. "Interconnect crosstalk noise evaluation in deep-submicron technologies." Microelectronics Reliability 49, no. 2 (February 2009): 170–77. http://dx.doi.org/10.1016/j.microrel.2008.11.013.

Full text
APA, Harvard, Vancouver, ISO, and other styles
More sources

Dissertations / Theses on the topic "Interconnect noise"

1

Yang, Yaochao. "Design tradeoffs for noise control in signal integrity for MOS-based interconnect systems." Diss., The University of Arizona, 1995. http://hdl.handle.net/10150/187407.

Full text
Abstract:
Three design tradeoff relations for noise control, namely control for ground bounce noise, control for crosstalk noise, and control for reflection noise, in signal integrity for MOS-based systems are discussed here. Both long-channel modeled MOST (MOS1) and short-channel modeled MOST (MOS3) are used to derived tradeoffs between performance parameters and electrical parameters for a lumped modeled ground-path inductance. Quantitative expressions relating driver size, loading capacitance, edge speed of input signal, parasitic inductance, and a maximum number of allowable simultaneously switching drivers to the worst-case, maximum ground bounce and the signal switching (delay) time are shown to agree with SPICE simulations for both MOS1 and MOS3 devices. Dependent upon the strength of line coupling, two design guidelines to design interconnect systems for targets of 4% far-end overshoot, 10% far-end crosstalk, and a pre-specified far-end response time are introduced to upgrade package performance and packaging density. A low-frequency approximation associated with a second-order Butterworth response is the foundation to control far-end overshoot for the single-mode excitation, and/or for the mixed-mode excitation with weakly coupled lines. An average-transfer-function method is introduced for calculating the required output impedance of source (driver) when multiple modes are excited for strongly coupled lines. It is shown that the far-end response can be significantly improved with reliable operation if the output impedance is designed to be less than the line impedance according to the proposed approach. The near-end and the far-end crosstalk are derived for capacitive far-end and resistive (unmatched) near-end terminations on both the driven and the quiet lines. A simple far-end crosstalk estimate assuming a low line loss, weak line coupling, and a small capacitive load is first derived based on multiple reflections of backward coupling noise from mis-matched terminations. This simple estimate ensures controlled crosstalk for weakly coupled cases. Derivations in the frequency and the time domain of two limits, namely the high-frequency and the low-frequency approximation, for the far-end crosstalk then are followed for heavily-loaded, lossy lines, and/or strong line coupling. Compared to SPICE calculations, It is shown that these two limits can serve as a upper bound and a lower bound for the far-end crosstalk estimate. To estimate the signal delay time, a simple expression that combines the propagation delay time and the far-end Z(0)G(L) time is formulated first. The Elmore delay time for a single line provides a good delay estimate for a signal propagating on loosely coupled lines. For strongly coupled lines, a modified Elmore delay time with a coupling factor is derived, which agrees well with SPICE calculations. Design curves for targets of 4% far-end overshoot and 10% far-end crosstalk are given, and design guidelines, based on a second-order polynomial approximation and least-squares data fitting, are introduced for strongly-coupled lines. SPICE simulations for systems designed using these guidelines agree very well with the design targets, namely a 4% far-end overshoot and a 10% far-end crosstalk. Based upon the assumption that both unsealed and scaled systems satisfy the proposed design guidelines, possible scaling tradeoffs for down-sized (scaled) systems also are examined extensively.
APA, Harvard, Vancouver, ISO, and other styles
2

Taki, Mohamed. "Identification and simulation of critical interconnect paths with respect to transient noise on PCB-level." Aachen Shaker, 2008. http://d-nb.info/992686016/04.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Taki, Mohamed [Verfasser]. "Identification and Simulation of Critical Interconnect Paths with Respect to Transient Noise on PCB-Level / Mohamed Taki." Aachen : Shaker, 2009. http://d-nb.info/1161310754/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Hanchate, Narender. "A game theoretic framework for interconnect optimization in deep submicron and nanometer design." [Tampa, Fla] : University of South Florida, 2006. http://purl.fcla.edu/usf/dc/et/SFE0001523.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Pamunuwa, Dinesh. "Modelling and Analysis of Interconnects for Deep Submicron Systems-on-Chip." Doctoral thesis, KTH, Microelectronics and Information Technology, IMIT, 2003. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3659.

Full text
Abstract:

The last few decades have been a very exciting period in thedevelopment of micro-electronics and brought us to the brink ofimplementing entire systems on a single chip, on a hithertounimagined scale. However an unforeseen challenge has croppedup in the form of managing wires, which have become the mainbottleneck in performance, masking the blinding speed of activedevices. A major problem is that increasingly complicatedeffects need to be modelled, but the computational complexityof any proposed model needs to be low enough to allow manyiterations in a design cycle.

This thesis addresses the issue of closed form modelling ofthe response of coupled interconnect systems. Following astrict mathematical approach, second order models for thetransfer functions of coupled RC trees based on the first andsecond moments of the impulse response are developed. The2-pole-1-zero transfer function that is the best possible fromthe available information is obtained for the signal path fromeach driver to the output in multiple aggressor systems. Thisallows the complete response to be estimated accurately bysumming up the individual waveforms. The model represents theminimum complexity for a 2-pole-1-zero estimate, for this classof circuits.

Also proposed are new techniques for the optimisation ofwires in on-chip buses. Rather than minimising the delay overeach individual wire, the configuration that maximises thetotal bandwidth over a number of parallel wires isinvestigated. It is shown from simulations that there is aunique optimal solution which does not necessarily translate tothe maximum possible number of wires, and in fact deviatesconsiderably from it when the resources available for repeatersare limited. Analytic guidelines dependent only on processparameters are derived for optimal sizing of wires andrepeaters.

Finally regular tiled architectures with a commoncommunication backplane are being proposed as being the mostefficient way to implement systems-on-chip in the deepsubmicron regime. This thesis also considers the feasibility ofimplementing a regular packet-switched network-on-chip in atypical future deep submicron technology. All major physicalissues and challenges are discussed for two differentarchitectures and important limitations are identified.

APA, Harvard, Vancouver, ISO, and other styles
6

Bhaduri, Debayan. "Tools and Techniques for Evaluating Reliability Trade-offs for Nano-Architectures." Thesis, Virginia Tech, 2002. http://hdl.handle.net/10919/9918.

Full text
Abstract:
It is expected that nano-scale devices and interconnections will introduce unprecedented level of defects in the substrates, and architectural designs need to accommodate the uncertainty inherent at such scales. This consideration motivates the search for new architectural paradigms based on redundancy based defect-tolerant designs. However, redundancy is not always a solution to the reliability problem, and often too much or too little redundancy may cause degradation in reliability. The key challenge is in determining the granularity at which defect tolerance is designed, and the level of redundancy to achieve a specific level of reliability. Analytical probabilistic models to evaluate such reliability-redundancy trade-offs are error prone and cumbersome, and do not scalewell for complex networks of gates. In this thesiswe develop different tools and techniques that can evaluate the reliability measures of combinational circuits, and can be used to analyze reliability-redundancy trade-offs for different defect-tolerant architectural configurations. In particular, we have developed two tools, one of which is based on probabilistic model checking and is named NANOPRISM, and another MATLAB based tool called NANOLAB. We also illustrate the effectiveness of our reliability analysis tools by pointing out certain anomalies which are counter-intuitive but can be easily discovered by these tools, thereby providing better insight into defecttolerant design decisions. We believe that these tools will help furthering research and pedagogical interests in this area, expedite the reliability analysis process and enhance the accuracy of establishing reliability-redundancy trade-off points.
Master of Science
APA, Harvard, Vancouver, ISO, and other styles
7

Saint-Laurent, Martin. "Modeling and Analysis of High-Frequency Microprocessor Clocking Networks." Diss., Georgia Institute of Technology, 2005. http://hdl.handle.net/1853/7271.

Full text
Abstract:
Integrated systems with billions of transistors on a single chip are a now reality. These systems include multi-core microprocessors and are built today using deca-nanometer devices organized into synchronous digital circuits. The movement of data within such systems is regulated by a set of predictable timing signals, called clocks, which must be distributed to a large number of sequential elements. Collectively, these clocks have a significant impact on the frequency of operation and, consequently, on the performance of the systems. The clocks are also responsible for a large fraction of the power consumed by these systems. The objective of this dissertation is to better understand clock distribution in order to identify opportunities and strategies for improvement by analyzing the conditions under which the optimal tradeoff between power and performance can be achieved, by modeling the constraints associated with local and global clocking, by evaluating the impact of noise, and by investigating promising new design strategies for future integrated systems.
APA, Harvard, Vancouver, ISO, and other styles
8

Chandrasekhar, Janani. "Signal to power coupling and noise induced jitter in differential signaling." Thesis, Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/24778.

Full text
Abstract:
Thesis (M. S.)--Electrical and Computer Engineering, Georgia Institute of Technology, 2008.
Committee Chair: Swaminathan Madhavan; Committee Member: Chatterjee Abhijit; Committee Member: Davis Jeffrey.
APA, Harvard, Vancouver, ISO, and other styles
9

Iglesias, Olmedo Miguel. "Impairment Mitigation in High Capacity and Cost-efficient Optical Data Links." Doctoral thesis, KTH, Optik och Fotonik, OFO, 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-208101.

Full text
Abstract:
The work presented in this thesis fits within the broader area of fiber optics communications. This is an important area of research as it provides a breeding ground for the present and future technologies supporting the Internet. Due to the ever-increasing bandwidth demands worldwide, the network infrastructures that make up the Internet are continuously being upgraded. This thesis aims to identify key segments of the Internet that are deemed to become the Internet's bottleneck if new technology does not replace the current one. These are datacenter intra and inter-connects, and metropolitan core area networks. In each category, we provide a comprehensive overview of the state of the art, identify key impairments affecting data transmission, and suggest solutions to overcome them.   For datacenter intra and inter-connects, the key impairments are lack of bandwidth from electro-optic devices, and dispersion. Solutions attempting to tackle these impairments must be constrained by cost and power consumption. The provided solution is MultiCAP, an alternative advanced modulation format that is more tolerable to dispersion and provides bandwidth management features, while being flexible enough to sacrifice performance in order to gain simplicity. MultiCAP was the first advanced modulation format to achieve over 100~Gb/s in 2013 for a data-center interconnect and set the world record on data transmission over a single VCSEL in 2014 for a short reach data link.    On metro-core networks, the challenge is to efficiently mitigate carrier induced frequency noise generated by modern semiconductor lasers. We point out that, when such lasers are employed, the commonly used laser linewidth fails to estimate system performance, and we propose an alternative figure of merit we name "Effective Linewidth". We derive this figure of merit analytically, explore it by numerical simulations and experimentally validate our results by transmitting a 28~Gbaud DP-16QAM over an optical link.

QC 20170602


GRIFFON
APA, Harvard, Vancouver, ISO, and other styles
10

Chun, Carl S. P. (Shun Ping). "Investigation of Integrated Circuits for High Datarate Optical Links." Diss., Georgia Institute of Technology, 2004. http://hdl.handle.net/1853/4935.

Full text
Abstract:
Because of the need to move large amounts of data effienciently, optical based communications are a critical component of modern telecommunications. And as a key enabler of optical communications, electrical components play a critical role in optical data links. Optoelectronic integrated circuits provide the bridge between the optical and electrical realms. Electronic integrated circuits are also integral parts of the optical link, interfacing with post processing circuitry and compensating for any limitations along the link. In this investigation, three circuits for optical data link applications are studied. Two optoelectronic integrated circuit front-ends for freespace and long haul applications, respectively and an active filter for near end cross talk cancellation associated with high data rate transmission. The first circuit is an 8x8 monolithic receiver array for a Spatial Division Multiplexing optical link. A compact and low power 8x8 array was designed and demonstrated a channel that received data at rates of 1Gb/s. It is the first completely monolithic demonstration of a 2D receiver array within a conventional ion implanted GaAs MESFET process. The second circuit demonstrated a long wavelength (1.55 m) optoelectronic receiver for long haul applications. The circuit utilized a TWA topology, which maximizes the available bandwidth from the GaAs MESFET process. It incorporated a thin-film inverted MSM photodetector to achieve nearly monolithic integration. The final circuit is a tunable high pass active filter in 0.18 m CMOS technology. As part of a NEXT noise canceller architecture, it will provide the means to extend data transmission in FR-4 legacy backplanes into the tens of Gb/s datarate.
APA, Harvard, Vancouver, ISO, and other styles
More sources

Books on the topic "Interconnect noise"

1

Bayoumi, Magdy A., and Mohamed Elgamel. Interconnect Noise Optimization in Nanometer Technologies. Springer, 2010.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Bayoumi, Magdy A., and Mohamed Elgamel. Interconnect Noise Optimization in Nanometer Technologies. Springer, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Interconnect Noise Optimization in Nanometer Technologies. Springer, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Interconnect Noise Optimization in Nanometer Technologies. New York: Springer-Verlag, 2006. http://dx.doi.org/10.1007/0-387-29366-3.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Moll, Francesc. Interconnection Noise in Vlsi Circuits. Springer, 2011.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Moll, Francesc, and Miquel Roca. Interconnection Noise in VLSI Circuits. Springer, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Abhari, Ramesh. Modeling of via interconnects in parallel-plate environments and suppression of the induced ground/power noise. 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Interconnect noise"

1

Dhiman, Rohit, and Rajeevan Chandel. "Subthreshold Interconnect Noise Analysis." In Energy Systems in Electrical Engineering, 67–82. New Delhi: Springer India, 2014. http://dx.doi.org/10.1007/978-81-322-2132-6_5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Hasan, S., J. Prince, and A. Cangellaris. "Comparisons of RL and RLC Interconnect Models in the Simultaneous Switching Noise Simulations." In Interconnects in VLSI Design, 79–88. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/978-1-4615-4349-7_7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Lasagna, Diego, Franco Schinco, Emmanuel Leroux, and Andrea Delmastro. "Prediction of PCB Susceptibility to Conducted Noise at Post-Layout Level." In Signal Propagation on Interconnects, 71–81. Boston, MA: Springer US, 1998. http://dx.doi.org/10.1007/978-1-4757-6512-0_6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Purushottam Kumawat and Gaurav Soni. "Crosstalk Noise Voltage Analysis in Global Interconnects." In Advances in Intelligent Systems and Computing, 411–23. Singapore: Springer Singapore, 2016. http://dx.doi.org/10.1007/978-981-10-0448-3_34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Ma, Yue, and Christian Gontrand. "Efficient and Simple Compact Modeling of Interconnects." In Power, Thermal, Noise, and Signal Integrity Issues on Substrate/Interconnects Entanglement, 47–96. Boca Raton : Taylor & Francis, a CRC title, part of the Taylor & Francis imprint, a member of the Taylor & Francis Group, the academic division of T&F Informa, plc, 2018.: CRC Press, 2019. http://dx.doi.org/10.1201/9780429399619-3.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Ma, Yue, and Christian Gontrand. "Substrate Noise and Parasites: Toward 3D." In Power, Thermal, Noise, and Signal Integrity Issues on Substrate/Interconnects Entanglement, 169–212. Boca Raton : Taylor & Francis, a CRC title, part of the Taylor & Francis imprint, a member of the Taylor & Francis Group, the academic division of T&F Informa, plc, 2018.: CRC Press, 2019. http://dx.doi.org/10.1201/9780429399619-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Mallidu, Jayashree, and Saroja V. Siddamal. "Crosstalk Noise Reduction in Long Wire Interconnects Using MTCMOS Inverters." In Lecture Notes in Electrical Engineering, 171–77. Singapore: Springer Singapore, 2021. http://dx.doi.org/10.1007/978-981-33-4866-0_22.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Ma, Yue, and Christian Gontrand. "Substrate Noise in Mixed-Signal ICs in a Silicon Process." In Power, Thermal, Noise, and Signal Integrity Issues on Substrate/Interconnects Entanglement, 13–45. Boca Raton : Taylor & Francis, a CRC title, part of the Taylor & Francis imprint, a member of the Taylor & Francis Group, the academic division of T&F Informa, plc, 2018.: CRC Press, 2019. http://dx.doi.org/10.1201/9780429399619-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Ma, Yue, and Christian Gontrand. "General Introduction." In Power, Thermal, Noise, and Signal Integrity Issues on Substrate/Interconnects Entanglement, 1–10. Boca Raton : Taylor & Francis, a CRC title, part of the Taylor & Francis imprint, a member of the Taylor & Francis Group, the academic division of T&F Informa, plc, 2018.: CRC Press, 2019. http://dx.doi.org/10.1201/9780429399619-1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Ma, Yue, and Christian Gontrand. "Electrothermal Modeling of Substrates." In Power, Thermal, Noise, and Signal Integrity Issues on Substrate/Interconnects Entanglement, 97–168. Boca Raton : Taylor & Francis, a CRC title, part of the Taylor & Francis imprint, a member of the Taylor & Francis Group, the academic division of T&F Informa, plc, 2018.: CRC Press, 2019. http://dx.doi.org/10.1201/9780429399619-4.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Interconnect noise"

1

Gandikota, Ravikishore, David Blaauw, and Dennis Sylvester. "Interconnect performance corners considering crosstalk noise." In 2009 IEEE International Conference on Computer Design (ICCD 2009). IEEE, 2009. http://dx.doi.org/10.1109/iccd.2009.5413148.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

"C1L-B Noise, Interconnect and Timing." In 2008 15th IEEE International Conference on Electronics, Circuits and Systems. IEEE, 2008. http://dx.doi.org/10.1109/icecs.2008.4675173.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

"Interconnect and noise modeling - Session 24." In Proceedings of the IEEE 2004 Custom Integrated Circuits Conference. IEEE, 2004. http://dx.doi.org/10.1109/cicc.2004.1358860.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Zhang, Xiang, Jingwei Lu, Yang Liu, and Chung-Kuan Cheng. "Worst-Case Noise Area Prediction of On-Chip Power Distribution Network." In SLIP (System Level Interconnect Prediction). New York, New York, USA: ACM Press, 2014. http://dx.doi.org/10.1145/2633948.2633950.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Chong, Raymond, and Khang Choong Yong. "Crystal Oscillator Interconnect Architecture with Noise Immunity." In 2018 IEEE 20th Electronics Packaging Technology Conference (EPTC). IEEE, 2018. http://dx.doi.org/10.1109/eptc.2018.8654299.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Tang, Kevin T., and Eby G. Friedman. "Interconnect coupling noise in CMOS VLSI circuits." In the 1999 international symposium. New York, New York, USA: ACM Press, 1999. http://dx.doi.org/10.1145/299996.300020.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Chan, Tuck-Boon, Andrew B. Kahng, and Mingyu Woo. "Revisiting inherent noise floors for interconnect prediction." In SLIP '20: System-Level Interconnect - Problems and Pathfinding Workshop. New York, NY, USA: ACM, 2020. http://dx.doi.org/10.1145/3414622.3431907.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Cong, Jason, David Zhigang Pan, and Prasanna V. Srinivas. "Improved crosstalk modeling for noise constrained interconnect optimization." In the 2001 conference. New York, New York, USA: ACM Press, 2001. http://dx.doi.org/10.1145/370155.370414.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kim, Ki-Wook, Seong-Ook Jung, Unni Narayanan, C. L. Liu, and Sung-Mo Kang. "Noise-aware power optimization for on-chip interconnect." In the 2000 international symposium. New York, New York, USA: ACM Press, 2000. http://dx.doi.org/10.1145/344166.344537.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Ki-Wook Kim, Seong-Ook Jung, U. Narayanan, C. L. Liu, and Sung-Mo Kang. "Noise-aware power optimization for on-chip interconnect." In Proceedings of ISLPED2000: International Symposium on Low Power Electronic Design. IEEE, 2000. http://dx.doi.org/10.1109/lpe.2000.155262.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography