Academic literature on the topic 'Low-k dielectric materials'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Low-k dielectric materials.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Low-k dielectric materials"

1

Shamiryan, D., T. Abell, F. Iacopi, and K. Maex. "Low-k dielectric materials." Materials Today 7, no. 1 (January 2004): 34–39. http://dx.doi.org/10.1016/s1369-7021(04)00053-7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ryan, E. Todd, Andrew J. McKerrow, Jihperng Leu, and Paul S. Ho. "Materials Issues and Characterization of Low-k Dielectric Materials." MRS Bulletin 22, no. 10 (October 1997): 49–54. http://dx.doi.org/10.1557/s0883769400034205.

Full text
Abstract:
Continuing improvement in device density and performance has significantly affected the dimensions and complexity of the wiring structure for on-chip interconnects. These enhancements have led to a reduction in the wiring pitch and an increase in the number of wiring levels to fulfill demands for density and performance improvements. As device dimensions shrink to less than 0.25 μm, the propagation delay, crosstalk noise, and power dissipation due to resistance-capacitance (RC) coupling become significant. Accordingly the interconnect delay now constitutes a major fraction of the total delay limiting the overall chip performance. Equally important is the processing complexity due to an increase in the number of wiring levels. This inevitably drives cost up by lowering the manufacturing yield due to an increase in defects and processing complexity.To address these problems, new materials for use as metal lines and interlayer dielectrics (ILDs) and alternative architectures have surfaced to replace the current Al(Cu)/SiO2 interconnect technology. These alternative architectures will require the introduction of low-dielectric-constant k materials as the interlayer dielectrics and/or low-resistivity conductors such as copper. The electrical and thermomechanical properties of SiO2 are ideal for ILD applications, and a change to material with different properties has important process-integration implications. To facilitate the choice of an alternative ILD, it is necessary to establish general criterion for evaluating thin-film properties of candidate low-k materials, which can be later correlated with process-integration problems.
APA, Harvard, Vancouver, ISO, and other styles
3

Sathyakam, P. Uma, and Partha S. Mallick. "Future Dielectric Materials for CNT Interconnects - Possibilities and Challenges." Journal of Nano Research 52 (May 2018): 21–42. http://dx.doi.org/10.4028/www.scientific.net/jnanor.52.21.

Full text
Abstract:
Carbon nanotube (CNT) interconnects are emerging as the ultimate choice for next generation ultra large scale integrated (ULSI) circuits. Significant progress in precise growth of aligned CNTs and integration of multiwalled CNT interconnects into a test chip make them promising candidates for future nanoelectronic chips. Tremendous research efforts were made on silicon based ultra-low-k dielectrics for Cu interconnects, but, the most recent advancements in polymer based composites as dielectric materials open up fresh challenges in the use of low-k dielectrics for CNT interconnects. This paper reviews the emerging polymer composites like Boron Nitride Nanotubes, Graphene/Polyimide composites, Metal Organic Frameworks and small diameter CNTs. Many reviews are already exists on the synthesis, fabrication, dielectric, mechanical, chemical and thermal properties of these materials. In this review, we have explained the specific properties of these materials and the necessities for integrating them into CNT interconnects to meet the requirements of future IC designers.Keywords: low-k dielectric materials, ultra low-k dielectrics, carbon nanotubes, interconnects, dielectric constant,
APA, Harvard, Vancouver, ISO, and other styles
4

Poloni, Roberta, and Jihan Kim. "Predicting low-k zeolite materials." J. Mater. Chem. C 2, no. 13 (2014): 2298–300. http://dx.doi.org/10.1039/c3tc32358g.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

He, Yan Gang, Jia Xi Wang, Xiao Wei Gan, Wei Juan Li, and Yu Ling Liu. "Effect of Colloidal Silica as Abrasive on Low-k Dielectric Materials in Chemical Mechanical Planarization." Advanced Materials Research 455-456 (January 2012): 1149–52. http://dx.doi.org/10.4028/www.scientific.net/amr.455-456.1149.

Full text
Abstract:
With low-k dielectric materials taking the place of oxide dielectrics as the primary dielectric materials, the low-k dielectric materials and interconnection Cu metals during Chemical Mechanical Planarization (CMP) is becoming a critical surface quality issue as well. In this study, experiments are carefully designed and conducted to investigate the effects of colloidal silica under compared acidic slurry and self-prepared alkaline slurry on k value of low-k dielectric materials, and in both of the slurry, colloidal silica (20~30nm) was used as polishing abrasive. The results showed that k value of low-k dielectric materials both increased within a similar range (self-prepared alkaline slurry, 3.27~3.33; commercial acidic slurry, 3.26~3.32), however, the results showed a obviously different result from reference’s report.
APA, Harvard, Vancouver, ISO, and other styles
6

Naik, Tejas R., Veena R. Naik, and Nisha P. Sarwade. "Novel Materials as Interlayer Low-K Dielectrics for CMOS Interconnect Applications." Applied Mechanics and Materials 110-116 (October 2011): 5380–83. http://dx.doi.org/10.4028/www.scientific.net/amm.110-116.5380.

Full text
Abstract:
Scaling down the integrated circuits has resulted in the arousal of number of problems like interaction between interconnect, crosstalk, time delay etc. These problems can be overcome by new designs and by use of corresponding novel materials, which may be a solution to these problems. In the present paper we try to put forward very recent development in the use of novel materials as interlayer dielectrics (ILDs) having low dielectric constant (k) for CMOS interconnects. The materials presented here are porous and hybrid organo-inorganic new generation interlayer dielectric materials possessing low dielectric constant and better processing properties.
APA, Harvard, Vancouver, ISO, and other styles
7

Huang, Maggie Y. M., Jeffrey C. K. Lam, Hao Tan, Tsu Hau Ng, Mohammed Khalid Bin Dawood, and Zhi Hong Mai. "UV-Raman Microscopy on the Analysis of Ultra-Low-K Dielectric Materials on Patterned Wafers." Advanced Materials Research 740 (August 2013): 680–89. http://dx.doi.org/10.4028/www.scientific.net/amr.740.680.

Full text
Abstract:
With the shrinkage of the IC device dimension, Cu and ultra-low-k dielectric were introduced into IC devices to reduce the RC delay. Ultra-low-k dielectrics generally suffer more damage than silicon oxide dielectric during process integration and subsequently cause reliability degradation. Therefore, ultra-low-k damage characterization on Cu damascene structures is of great importance to understand the damage mechanisms. This paper describes the application of UV-Raman microscopy with enhanced spatial resolution and signal sensitivity for characterizing ultra-low-k dielectric in the three-dimension structure of Cu metallization with nanometer feature size. It shows UV-Raman technique has an advantage in analyzing ultra-low-k layer on patterned wafer and extracting ultra-low-k signals from Cu/ultra-low-k mixed structure. UV-Raman is also effective to characterize the ultra-low-k degradation for ultra-low-k related reliability analysis by time dependent dielectric breakdown (TDDB) test.
APA, Harvard, Vancouver, ISO, and other styles
8

Lam, Jeffrey C. K., Maggie Y. M. Huang, Hao Tan, Zhiqiang Mo, Zhihong Mai, Choun Pei Wong, Handong Sun, and Zexiang Shen. "Vibrational spectroscopy of low-k/ultra-low-k dielectric materials on patterned wafers." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 29, no. 5 (September 2011): 051513. http://dx.doi.org/10.1116/1.3625099.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Ghule, B., and M. Laad. "Polymer Composites with Improved Dielectric Properties: A Review." Ukrainian Journal of Physics 66, no. 2 (March 4, 2021): 166. http://dx.doi.org/10.15407/ujpe66.2.166.

Full text
Abstract:
Materials exhibiting high dielectric constant (k) values find applications in capacitors, gate dielectrics, dielectric elastomers, energy storage device, while materials with low dielectric constant are required in electronic packaging and other such applications. Traditionally, high k value materials are associated with high dielectric losses, frequency-dependent dielectric behavior, and high loading of a filler. Materials with low k possess a low thermal conductivity. This creates the new challenges in the development of dielectric materials in both kinds of applications. Use of high dielectric constant filler materials increases the dielectric constant. In this study,the factors affecting the dielectric constant and the dielectric strength of polymer composites are explored. The present work aims to study the effect of various parameters affecting the dielectric properties of the materials. The factors selected in this study are the type of a polymer, type of a filler material used, size, shape, loading level and surface modification of a filler material, and method of preparation of the polymer composites. The study is focused on the dielectric enhancement of polymer nanocomposites used in the field of energy storage devices. The results show that the core-shell structured approach for high dielectric constant materials incorporated in a polymer matrix improves the dielectric constant of the polymer composite.
APA, Harvard, Vancouver, ISO, and other styles
10

Hong, Nianmin, Yinong Zhang, Quan Sun, Wenjie Fan, Menglu Li, Meng Xie, and Wenxin Fu. "The Evolution of Organosilicon Precursors for Low-k Interlayer Dielectric Fabrication Driven by Integration Challenges." Materials 14, no. 17 (August 25, 2021): 4827. http://dx.doi.org/10.3390/ma14174827.

Full text
Abstract:
Since the application of silicon materials in electronic devices in the 1950s, microprocessors are continuously getting smaller, faster, smarter, and larger in data storage capacity. One important factor that makes progress possible is decreasing the dielectric constant of the insulating layer within the integrated circuit (IC). Nevertheless, the evolution of interlayer dielectrics (ILDs) is not driven by a single factor. At first, the objective was to reduce the dielectric constant (k). Reduction of the dielectric constant of a material can be accomplished by selecting chemical bonds with low polarizability and introducing porosity. Moving from silicon dioxide, silsesquioxane-based materials, and silica-based materials to porous silica materials, the industry has been able to reduce the ILDs’ dielectric constant from 4.5 to as low as 1.5. However, porous ILDs are mechanically weak, thermally unstable, and poorly compatible with other materials, which gives them the tendency to absorb chemicals, moisture, etc. All these features create many challenges for the integration of IC during the dual-damascene process, with plasma-induced damage (PID) being the most devastating one. Since the discovery of porous materials, the industry has shifted its focus from decreasing ILDs’ dielectric constant to overcoming these integration challenges. More supplementary precursors (such as Si–C–Si structured compounds), deposition processes (such as NH3 plasma treatment), and post porosity plasma protection treatment (P4) were invented to solve integration-related challenges. Herein, we present the evolution of interlayer dielectric materials driven by the following three aspects, classification of dielectric materials, deposition methods, and key issues encountered and solved during the integration phase. We aim to provide a brief overview of the development of low-k dielectric materials over the past few decades.
APA, Harvard, Vancouver, ISO, and other styles
More sources

Dissertations / Theses on the topic "Low-k dielectric materials"

1

Cho, Taiheui. "Anisotropy of low dielectric constant materials and reliability of Cu/low-k interconnects /." Digital version accessible at:, 2000. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Sun, Minwei. "Applying zeolites as low dielectric constant (low-k) materials." Diss., UC access only, 2009. http://proquest.umi.com/pqdweb?index=14&did=1907180231&SrchMode=1&sid=4&Fmt=2&VInst=PROD&VType=PQD&RQT=309&VName=PQD&TS=1270059102&clientId=48051.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Martini, David M. "Metallization and Modification of Low-k Dielectric Materials." Thesis, University of North Texas, 2008. https://digital.library.unt.edu/ark:/67531/metadc9754/.

Full text
Abstract:
Aluminum was deposited onto both Teflon AF and Parylene AF surfaces by chemical vapor deposition of trimethylaluminum. This work shows that similar thin film (100 Angstroms) aluminum oxide adlayers form on both polymers at the low temperature dosing conditions used in the studies. Upon anneal to room temperature and above, defluorination of the polymer surfaces increased and resulted in fluorinated aluminum oxide adlayers; the adlayers were thermally stable to the highest temperatures tested (600 K). Angle-resolved spectra showed higher levels of fluorination toward the polymer/adlayer interface region. Copper films were also deposited at low temperature onto Teflon AF using a copper hexafluoroacetylacetonate-cyclooctadiene precursor. Annealing up to 600 K resulted in the loss of precursor ligands and a shift to metallic copper. As with aluminum adlayers, some polymer defluorination and resulting metal (copper) fluoride was detected. Parylene AF and polystyrene films surfaces were modified by directly dosing with water vapor passed across a hot tungsten filament. Oxygen incorporation into polystyrene occurred exclusively at aromatic carbon sites, whereas oxygen incorporation into parylene occurred in both aromatic and aliphatic sites. Oxygen x-ray photoelectron spectra of the modified polymers were comparable, indicating that similar reactions occurred. The surface oxygenation of parylene allowed enhanced reactivity toward aluminum chemical vapor deposition. Silicon-carbon (Si-Cx) films were formed by electron beam bombardment of trimethylvinylsilane films which were adsorbed onto metal substrates at low temperatures in ultra-high vacuum. Oxygen was also added to the films by coadsorbing water before electron beam bombardment; the films were stable to more than 700 K, with increasing silicon-oxygen bond formation at elevated temperatures. Copper metal was sputter deposited in small increments onto non-oxygenated films. X-ray photoelectric spectra show three-dimensional copper growth (rather than layer-by-layer growth), indicating only weak interaction between the copper and underlying films. Annealing at elevated temperatures caused coalescence or growth of the copper islands, with spectra indicating metallic copper rather than copper oxide.
APA, Harvard, Vancouver, ISO, and other styles
4

McGowan, Brian Thomas. "Magnetoresistance of a Low-k Dielectric." Thesis, State University of New York at Albany, 2016. http://pqdtopen.proquest.com/#viewpdf?dispub=10100441.

Full text
Abstract:

Low-k dielectrics have been incorporated into advanced computer chip technologies as a part of the continuous effort to improve computer chip performance. One drawback associated with the implementation of low-k dielectrics is the large leakage current which conducts through the material, relative to silica. Another drawback is that the breakdown voltage of low-k dielectrics is low, relative to silica [1]. This low breakdown voltage makes accurate reliability assessment of the failure mode time dependent dielectric breakdown (TDDB) in low-k dielectrics critical for the successful implementation of these materials. The accuracy with which one can assess this reliability is currently a topic of debate.

These material drawbacks have motivated the present work which aims both to contribute to the understanding of electronic conduction mechanisms in low-k dielectrics, and to improve the ability to experimentally characterize changes which occur within the material prior to TDDB failure. What follows is a study of the influence of an applied magnetic field on the conductivity of a low-k dielectric, or in other words, a study of the material’s magnetoresistance.

This study shows that low-k dielectrics used as intra-level dielectrics exhibit a relatively large negative magnetoresistance effect (∼2%) at room temperature and with modest applied magnetic fields (∼100 Oe). The magnetoresistance is attributed to the spin dependence of trapping electrons from the conduction band into localized electronic sites. Mixing of two-electron spin states via interactions between electron spins and the the spins of hydrogen nuclei is suppressed by an applied magnetic field. As a result, the rate of trapping is reduced, and the conductivity of the material increases.

This study further demonstrates that the magnitude of the magnetoresistance changes as a function of time subjected to electrical bias and temperature stress. The rate that the magnetoresistance changes correlates to the intensity with which the material was stressed. It is postulated that the change in magnetoresistance which occurs as a result of bias temperature stress could be used as an alias for measuring the degradation which contributes to TDDB.

Finally, it is shown that the magnetoresistance behavior is non-monotonic. That is, for small values of applied magnetic field (∼2 Oe) the conductivity initially decreases, while for further increase of the magnetic field the conductivity increases to a saturation. The non-monotonic behavior is consistently described in the context of competing spin mixing mechanisms.

APA, Harvard, Vancouver, ISO, and other styles
5

Martini, David M. Kelber Jeffry Alan. "Metallization and modification of low-k dielectric materials." [Denton, Tex.] : University of North Texas, 2008. http://digital.library.unt.edu/permalink/meta-dc-9754.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Ahn, Sang Hoon 1970. "Electrical studies of silicon and low K dielectric material." Thesis, Massachusetts Institute of Technology, 1999. http://hdl.handle.net/1721.1/9130.

Full text
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 1999.
Includes bibliographical references (leaves 108-111).
Junction capacitance measurement is a well-established powerful characterization technique that allows one to explore electrical and physical properties of defects in bulk and interface of electronic materials. Capacitance-Voltage (CV) measures the overall net carrier concentration and a built-in voltage for a diode junction. Deep level transient spectroscopy (DLTS) as one of the most sensitive electrical measurement techniques can detect electrically active impurity concentration on the level of 10-1 to 10-5 of substrate doping concentration. The characteristic energy level and capture cross-section of the traps in the semiconductor energy gap can be extracted from DLTS temperature scans. Coupled with CV free carrier concentration profile, isothermal profiling by DLTS can determine the distribution of electrically active defects in the semiconductor. CV can also measure dielectric constant, K, on a metal-oxide-silicon structure. In this thesis, the junction capacitance technique is a primary tool used to study Er, Fe, and Mo in silicon. Si:5r is a candidate system for a light emitter in Si-based microphotonics. Fe is one of the most troublesome elements that degrade integrated circuit performance and solar cell efficiency. Mo is a fairly unknown contaminant typical of integrated circuit processing. Fluorosilicate glass is being used as a dielectric material for inter-metal levels in the current generation microprocessor. By measuring the reaction kinetics of the Er-related donor state, a defect structure for Si:Er light emitter center was deduced. The role of heterogeneous precipitation in Fe internal gettering was observed and modeled by measurement of residual [FeB] associates following [Fe] saturation, quench, and annealing processing. The diffusivity of Mo was determined and models for both the substitutional and the kick out diffusion mechanism were constructed. Finally, a predictive model for the F-content dependent dielectric constant variation of Si02 was established.
by Sang Hoon Ahn.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
7

Tong, Jinhong. "Study of Interactions Between Diffusion Barrier Layers and Low-k Dielectric Materials for Copper/Low-k Integration." Thesis, University of North Texas, 2003. https://digital.library.unt.edu/ark:/67531/metadc4384/.

Full text
Abstract:
The shift to the Cu/low-k interconnect scheme requires the development of diffusion barrier/adhesion promoter materials that provide excellent performance in preventing the diffusion and intermixing of Cu into the adjacent dielectrics. The integration of Cu with low-k materials may decrease RC delays in signal propagation but pose additional problems because such materials are often porous and contain significant amounts of carbon. Therefore barrier metal diffusion into the dielectric and the formation of interfacial carbides and oxides are of significant concern. The objective of the present research is to investigate the fundamental surface interactions between diffusion barriers and various low-k dielectric materials. Two major diffusion barriers¾ tatalum (Ta) and titanium nitride (TiN) are prepared by DC magnetron sputtering and metal-organic chemical vapor deposition (MOCVD), respectively. Surface analytical techniques, such as X-ray photoelectronic spectroscopy (XPS), transmission electron microscopy (TEM), and atomic force microscopy (AFM) are employed. Ta sputter-deposited onto a Si-O-C low dielectric constant substrate forms a reaction layer composed of Ta oxide and TaC. The composition of the reaction layer varies with deposition rate (1 Å-min-1 vs. 2 Å-sec-1), but in both cases, the thickness of the TaC layer is found to be at least 30 Å on the basis of XPS spectra, which is corroborated with cross-sectional TEM data. Sputter-deposited Cu will not wet the TaC layer and displays facile agglomeration, even at 400 K. Deposition for longer time at 2 Å-sec-1 results in formation of a metallic Ta layer. Sputter deposited Cu wets (grows conformally) on the metallic Ta surface at 300 K, and resists significant agglomeration at up to ~ 600 K. Cu diffusion into the substrate is not observed up to 800 K in the UHV environment. Tetrakis(diethylamido) titanium (TDEAT) interactions with SiO2, Cu and a variety of low-k samples in the presence (~ 10-7 Torr or co-adsorbed) and absence of NH3 result in different products. TDEAT interactions with SiO2 are dominated by Ti interactions with substrate oxygen sites, and that Ti oxide/sub-oxide bond formation can proceed with relatively low activation energy. No Ti carbide or Si carbide formation is observed. Co-adsorption of TDEAT and NH3 on SiO2 at 120K followed by annealing to higher temperature results in enhanced Ti-N bond formation, which is stable against oxidation up to 900K in UHV. Similarly, continuous exposures of TDEAT on SiO2 at 500K in the presence of NH3 exhibit a relatively enhanced Ti-N spectral component. Co-adsorption of NH3 and TDEAT on Cu (poly) surface at 120K, followed by annealing to 500K, results in complete desorption of Ti, N or C-containing species from the Cu substrate. Reaction of TDEAT with a Cu surface at 500K yields a Ti-alkyl species via a b-hydride elimination pathway. TDEAT/Cu interactions are not observably affected by overpressures of NH3 of 10-7 Torr. TDEAT interaction with a porous carbon doped oxide low-k substrate at 700K demonstrates undissociated or partly dissociated Ti-NR species trapped in the dielectrics matrix due to its high porosity. In addition, carbide formation is observed from C(1s) XPS spectra. For a hydrocarbon low-k film, the majority sites (carbon) are highly unreactive towards TDEAT even at higher temperature due to a lack of functional groups to initiate the TDEAT/low-k surface chemistry.
APA, Harvard, Vancouver, ISO, and other styles
8

Simkovic, Viktor. "Novel Low Dielectric Constant Thin Film Materials by Chemical Vapor Deposition." Thesis, Virginia Tech, 1999. http://hdl.handle.net/10919/35627.

Full text
Abstract:
A modified CVD reactor was designed with a deposition chamber capable of accomodating 8" wafers, with the capacity to remotely pyrolyze two different precursors. The design was based on a previous working reactor, with the most notable improvements being a showerhead design for more even delivery of gaseous precursor and a separate heating control of the substrate holder and deposition chamber walls. The performance of the reactor was analyzed by testing the pressure gradients within and the thickness uniformity of films deposited on 8" wafers. The reactor exhibited a linear pressure gradient within, and the thickness uniformity was excellent, with a slight increase in thickness towards inlet of the showerhead. The thickness difference between the maximum and minimum thickness on an 8" wafer was 14%. Films of polyparaxylylene (PPXN), polychloroparaxylylene (PPXC), SiO2, and PPXC/SiO2 were deposited, with deposition rates and indices of refraction comparable to those obtained on the old reactor design. A full factorial study was performed to determine the effect of the substrate temperature, the sublimation temperature, and the pyrolysis temperature on the deposition rates of PPXC. It was determined that the substrate temperature has the greatest effect, with about 50% contribution, and deposition rates increased with decreasing substrate temperature. The sublimation temperature contributed 25%, with increasing sublimation rates leading to higher deposition rates. The pyrolysis contributes very little, with about 2%, and the variance ratio did not fall within a 90% confidence level.

A low dielectric constant polymer, poly(tetrafluoro-p-xylylene) (VT-4), was synthesized by chemical vapor deposition from 4,5,7,8,12,13,15,16-octafluoro-[2.2]-paracyclophane (DVT-4). The main motivation was to find a cheaper alternative to poly( alpha, alpha , alpha ', alpha '-tetrafluoro-p-xylylene) (AF-4) with similar properties. The dielectric constant of VT-4 was measured as 2.42 at 1 MHz, and the in-plane and out-of-plane indices of refraction were 1.61 and 1.47 at 630 nm. The large negative birefringence suggests a low out-of-plane dielectric constant, which is desired for interlayer dielectrics. The VT-4 polymer was found to be stable at 460 oC by thermogravimetric analysis (TGA).

Polymer/Siloxane nanocomposites were studied as an alternate path to a polymer/silica composite. This study showed that incorporation of a four-ringed liquid siloxane precursor into the parylene PPXC is not feasible. A solid precursor cube-like molecule, vinyl-T8, was incorporated with ease. Pyrolysis of vinyl-T8 at different temperatures revealed complex behavior, with the formation of polymerized vinyl-T8 (through free radical addition at the vinyl groups) as well as silica-like structures forming above 500 oC as a result of the breaking up of the cage structure of vinyl-T8. Codepositions of PPXC and vinyl-T8 were then examined as a possible path towards a polymer/silica nanocomposite. At deposition temperatures below 5o C, precipitation of excess vinyl-T8 into cubic micron-sized crystals occurred. As this was undesirable, studies were continued at higher deposition temperatures. A Taguchi orthogonal array was set up to study the effect of the sublimation temperatures of the two precursors as well as the pyrolysis temperature and the substrate temperature on the deposition rate, the index of refraction and the weight loss after a 500 oC anneal. The deposition rate depended mostly on the sublimation temperature of the PPXC and the substrate temperature. The lowest index of refraction (and thus the lowest dielectric constant) was obtained with the lowest sublimation temperatures of 134 oC for PPXC and 195o C for vinyl-T8 and a pyrolysis temperature of 200 oC. Each of the factors was found to have an effect on the index of refraction, with the sublimation temperature of vinyl-T8 having the most influence. The films degraded at 500 oC, indicating that post-deposition annealing of the films did not lead to a conversion of the vinyl-T8 to a SiO2 -like structure (which would be stable at that temperature). X-ray diffraction spectra of the films revealed peaks which were not present for any of the vinyl-T8 films or characteristic of PPXC. Therefore, some type of interaction between the two components occurred and affected the morphology, most likely the formation of a block copolymer. Thus, though polymer/silica films were not attained, the resulting composites had comparable properties with higher deposition rates and a cleaner process.
Master of Science

APA, Harvard, Vancouver, ISO, and other styles
9

Haase, Micha, Ramona Ecke, and Stefan E. Schulz. "Requirements and challenges on an alternative indirect integration regime of low-k materials." Universitätsbibliothek Chemnitz, 2016. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-207219.

Full text
Abstract:
An alternative indirect integration regime of porous low-k materials was investigated. Based on a single Damascene structure the intra level dielectric SiO2 or damaged ULK was removed by using HF:H2O solutions to create free standing metal lines. The free spaces between the metal lines were refilled with a spin-on process of a low-k material. The persistence of barrier materials and copper against HF solutions, the gap fill behavior of the used spin on glass on different structure sizes and the main challenges which have to solve in the future are shown in this study.
APA, Harvard, Vancouver, ISO, and other styles
10

Kazi, Haseeb. "Plasma Interactions on Organosilicate Glass Dielectric Films and Emerging Amorphous Materials- Approach to Pore Sealing and Chemical Modifications." Thesis, University of North Texas, 2015. https://digital.library.unt.edu/ark:/67531/metadc801876/.

Full text
Abstract:
In-situ x-ray photoemission (XPS) and ex-situ FTIR studies of nanoporous organosilicate glass (OSG) films point to the separate roles of radicals vs. VUV photons in the carbon abstraction. The studies indicate that reaction with O2 in presence of VUV photons (~123 nm) result in significant carbon abstraction within the bulk and that the kinetics of this process is diffusion-limited. In contrast, OSG exposed to atomic O (no VUV) results in Si-C bond scission and Si-O bond formation, but this process is self-limiting after formation of ~1 nm thick SiO2 surface layer that inhibits further diffusion. Therefore, the diffusion-dominated kinetics of carbon abstraction observed for OSG exposed to O2 plasma is definitively attributed to the diffusion of O2 down OSG nanopores, reacting at photo-activated sites, rather than to the diffusion of atomic O. Pretreatment of OSG by 900 eV Ar+ ion bombardment also results in formation of 1 nm thick SiO2-like surface overlayer that inhibits O2 diffusion, inhibiting VUV+O2 and O2 plasma-induced reactions, and that the effectiveness of this treatment increases with ion kinetic energy. On the contrary, organosilicate glass (OSG) films with backbone carbon (-Si-R-Si-) exhibit significantly enhanced resistance to carbon loss upon exposure to O2 plasma, radicals and VUV+O2 compared to films with terminal methyl groups (Si-CH3). Films incorporating backbone carbon chains (-Si-R-Si-) were deposited from 1,2 bis (triethoxysilyl) ethane (BTESE) precursor by ebeam or plasma cross-linking. The radical effects on BTESE film indicates negligible carbon loss or Si oxidation, combined with C-O bond formation, under conditions where OSG films with terminal methyl groups exhibit > 80% carbon loss within the surface region of the film. C-O bond formation is never observed for terminal CH3 groups. Further, backbone carbon (-Si-R-Si-) films exposed to VUV+O2 exhibit self-limiting, minimal net carbon loss. This indicates that plasma-induced Si-C bond rupture still occurs in the linking unit, but with a low probability of simultaneous rupture of both Si-C bonds required for abstraction of an in-line methylene bridging group. The data thus demonstrate that OSG films containing backbone carbon groups exhibit greatly reduced rates of carbon loss in the presence of O2 plasma, radicals or VUV+O2 compared to films with terminal carbon groups due to fundamentally different patterns of Si-C bond scission. The results demonstrate the potential of backbone carbon low-k films to resist plasma induced damage.
APA, Harvard, Vancouver, ISO, and other styles
More sources

Books on the topic "Low-k dielectric materials"

1

Ree, Moonhor. Low-k nanoporous interdielectrics: Materials, thin film fabrications, structures and properties. Hauppauge, N.Y: Nova Science Publishers, 2010.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Maex, Karen. Materials, technology and reliability for advanced interconnects and low-k dielectrics: Symposium held April 23-27, 2000, San Fransico, California, U.S.A. Warrendale, Pa: Materials Research Society, 2001.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Symposium on Copper Interconnects, New Contact Metallurgies/Structures, and Low-k Interlevel Dielectrics (2000 Phoenix, Ariz.). Copper interconnects, new contact metallurgies/structures, and low-k interlevel dielectrics: Proceedings of the international symposium. Edited by Mathad G. S, Rathore Harzara S, Electrochemical Society. Dielectric Science and Technology Division., Electrochemical Society Electronics Division, Electrochemical Society Electrodeposition Division, and Electrochemical Society Meeting. Pennington, NJ: Electrochemical Society, 2001.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

International Symposium on Copper Interconnects, New Contact Metallurgies/Structures, and Low-k Interlevel Dielectrics II (2003 Orlando, Fla.). Copper interconnects, new contact metallurgies/structures, and low-k interlevel dielectrics II: Proceedings of the international symposium. Edited by Mathad G. S, Bakshi V, Electrochemical Society. Dielectric Science and Technology Division, Electrochemical Society Electronics Division, Electrochemical Society Electrodeposition Division, and Electrochemical Society Meeting. Pennington, N.J: Electrochemical Society, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Symposium F, "Materials, Technology and Reliability of Low-K Dielectrics and Copper Interconnects" (2006 San Francisco, Calif.). Materials, technology and reliability of low-k dielectrics and copper interconnects: Symposium held April 18-21, 2006, San Francisco, California, U.S.A. Edited by Tsui Ting Y and Materials Research Society Meeting. Warrendale, Pa: Materials Research Society, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Symposium F, "Materials, Technology and Reliability of Low-K Dielectrics and Copper Interconnects" (2006 San Francisco, Calif.). Materials, technology and reliability of low-k dielectrics and copper interconnects: Symposium held April 18-21, 2006, San Francisco, California, U.S.A. Edited by Tsui Ting Y and Materials Research Society Meeting. Warrendale, Pa: Materials Research Society, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Symposium F, "Materials, Technology and Reliability of Low-K Dielectrics and Copper Interconnects" (2006 San Francisco, Calif.). Materials, technology and reliability of low-k dielectrics and copper interconnects: Symposium held April 18-21, 2006, San Francisco, California, U.S.A. Edited by Tsui Ting Y and Materials Research Society Meeting. Warrendale, Pa: Materials Research Society, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

J, McKerrow Andrew, Materials Research Society Meeting, and Symposim on Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics (2003 : San Francisco, Calif.), eds. Materials, technology and reliability for advanced interconnects and low-k dielectrics, 2003: Symposium held April 21-25, 2003, San Francisco, California, U.S.A. Warrendale, Pa: Materials Research Society, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

International Symposium on Thin Film Materials, Processes, and Reliability (2003 Paris, France). Thin film materials, processes, and reliability: Plasma processing for the 100 nm node and copper interconnects with low-k inter-level dielectric films : proceedings of the international symposium. Edited by Mathad G. S, Electrochemical Society. Dielectric Science and Technology Division., Electrochemical Society Electronics Division, and Electrochemical Society Meeting. Pennington, NJ: Electrochemical Society, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Carter, R. J., C. S. Hau-Riege, G. M. Kloster, T. M. Lu, and S. E. Schulz. Materials, Technology and Reliability for Advanced Interconnects and Low-K Dielectrics - 2004. University of Cambridge ESOL Examinations, 2014.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
More sources

Book chapters on the topic "Low-k dielectric materials"

1

Ryan, E. T., A. J. McKerrow, J. Leu, and P. S. Ho. "Materials Issues and Characterization of Low-k Dielectric Materials." In Springer Series in Advanced Microelectronics, 23–74. Berlin, Heidelberg: Springer Berlin Heidelberg, 2003. http://dx.doi.org/10.1007/978-3-642-55908-2_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Li, Ke Jia, Xia Xiao, and Yu Feng Jin. "Theoretical Analysis of Young’s Modulus and Dielectric Constant for Low-k Porous Silicon Dioxide Films." In Key Engineering Materials, 2920–23. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/0-87849-456-1.2920.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Alagar, M., and S. Devaraju. "Cyanate Esters Based Organic–Inorganic Hybrid Nanocomposites for Low-K Dielectric Applications." In Eco-Friendly Nano-Hybrid Materials for Advanced Engineering Applications, 343–79. Toronto : Apple Academic Press, 2016.: Apple Academic Press, 2017. http://dx.doi.org/10.1201/9781315366531-16.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Vimala, P., and T. S. Arun Samuel. "Advanced FET Design Using High-k Gate Dielectric and Characterization for Low-Power VLSI." In High-k Materials in Multi-Gate FET Devices, 89–104. Boca Raton: CRC Press, 2021. http://dx.doi.org/10.1201/9781003121589-6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Yuan, Jinkai, Shenghong Yao, and Philippe Poulin. "Dielectric Constant of Polymer Composites and the Routes to High-k or Low-k Nanocomposite Materials." In Polymer Nanocomposites, 3–28. Cham: Springer International Publishing, 2016. http://dx.doi.org/10.1007/978-3-319-28238-1_1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Lim, A. Y. K., and K. Ibrahim. "Comparisons on Doping of Different Alkyl Compound on SiO2 to Form a Low-k Dielectric Material." In Materials Science Forum, 213–16. Stafa: Trans Tech Publications Ltd., 2005. http://dx.doi.org/10.4028/0-87849-962-8.213.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Aw, K. C., N. T. Salim, Wei Gao, Zheng Wei Li, and K. Prince. "Comparative Study of Copper Diffusion in Plasma Treated Low-k Dielectric Thin Film Using XPS and SIMS." In Advanced Materials and Processing IV, 347–50. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/0-87849-466-9.347.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Tyberg, C., E. Huang, J. Hedrick, E. Simonyi, S. Gates, S. Cohen, K. Malone, et al. "Porous Low-k Dielectrics: Material Properties." In ACS Symposium Series, 161–72. Washington, DC: American Chemical Society, 2004. http://dx.doi.org/10.1021/bk-2004-0874.ch012.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Lee, Jong Woo, Hyoun Woo Kim, J. W. Han, Mok Soon Kim, Byung Don Yoo, M. H. Kim, C. H. Lee, et al. "Plasma Etching for the Application to Low-K Dielectrics Devices." In Materials Science Forum, 113–18. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/0-87849-441-3.113.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Chudzik, Michael, Siddarth Krishnan, Unoh Kwon, Mukesh Khare, Vijay Narayanan, Takashi Ando, Ed Cartier, Huiming Bu, and Vamsi Paruchuri. "The Interaction Challenges with Novel Materials in Developing High-Performance and Low-Leakage High-k/Metal Gate CMOS Transistors." In High-k Gate Dielectrics for CMOS Technology, 531–55. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2012. http://dx.doi.org/10.1002/9783527646340.ch17.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Low-k dielectric materials"

1

Moore, Thomas M. "Mechanical characterization of low-K dielectric materials." In The 2000 international conference on characterization and metrology for ULSI technology. AIP, 2001. http://dx.doi.org/10.1063/1.1354437.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Lee, Ki-Don, Quan Yuan, Anuj Patel, Zack Tran Mai, Logan H. Brown, and Steven English. "Moisture impact on dielectric reliability in low-k dielectric materials." In 2016 IEEE International Reliability Physics Symposium (IRPS). IEEE, 2016. http://dx.doi.org/10.1109/irps.2016.7574594.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Binghai, Liu, Mo Zhiqiang, Hua Younan, and Teong Jennifer. "Optimization of SEM Analytical Conditions for Low K and Ultra Low K Dielectric Materials." In ISTFA 2008. ASM International, 2008. http://dx.doi.org/10.31399/asm.cp.istfa2008p0291.

Full text
Abstract:
Abstract Electron beam induced radiation damage presents great challenges for the electron microscopy analysis of low k and ultra low k dielectrics due to their beam sensitive nature. In order to minimize the radiation damage, it is necessary to understand the mechanisms behind the damage. This work presents detailed studies regarding the mechanisms behind the effects of probe currents, accelerating voltage and anticharging coating layers on the radiation damage to low/ultralow K dielectrics. The results indicate that the probe current shows a stronger dependence on the size of the condenser lens aperture than the accelerating voltage. Therefore, in terms of the probe current, the condenser lens aperture plays a decisive role in affecting the radiation damage process. In order to minimize the radiation damage, SEM imaging should be conducted with not only a low accelerating voltage but also a small condenser lens aperture to reduce probe current. Based on simulation results, the effects of a coating layer and accelerating voltage are related to the interaction volume and the penetration depth of the electron beam. Pt coating can act as not only an anti-charging layer, but also an effective barrier layer for reducing electron flux that interacts with the low/ultra-low dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
4

Huang, Maggie Y. M., Tsu Hau Ng, Hao Tan, Mohammed Khalid Bin Dawood, Pik Kee Tan, Jeffrey C. K. Lam, and Zhihong Mai. "UV-Raman Microscopy on the Analysis of Ultra-Low-k Dielectric Materials on Patterned Wafers." In ISTFA 2013. ASM International, 2013. http://dx.doi.org/10.31399/asm.cp.istfa2013p0517.

Full text
Abstract:
Abstract With the shrinkage of the IC device dimensions, Cu and ultra-low-k dielectric were introduced into IC devices to reduce RC delay. Ultra-low-k dielectrics generally suffer more damage than silicon oxide dielectric during process integration and subsequently cause reliability degradation. Therefore, ultra-low-k damage characterization on Cu damascene structures is of great importance to understand the damage mechanisms. This paper describes the application of UV-Raman microscopy with enhanced spatial resolution and signal sensitivity for characterizing ultra-low-k dielectric in the three-dimension structure of Cu metallization with nanometer feature size. It shows UV-Raman technique has an advantage in analyzing ultra-low-k layer on patterned wafer and extracting ultra-low-k signals from Cu/ultra-low-k mixed structure. UV-Raman is also effective to characterize the ultra-low-k degradation for ultra-low-k related reliability analysis by time dependent dielectric breakdown (TDDB) test.
APA, Harvard, Vancouver, ISO, and other styles
5

Olewine, Michael C., John F. DiGregorio, Gus J. Colovos, Kevin F. Saiz, and Hongjiang Sun. "Passivation Cracks in a Four-Level Metal Low-K Dielectric Backend Process." In ISTFA 2000. ASM International, 2000. http://dx.doi.org/10.31399/asm.cp.istfa2000p0267.

Full text
Abstract:
Abstract Mechanical stress problems in integrated circuit devices are becoming more severe as the number of metal interconnect levels increases and new materials such as low-k dielectrics are introduced. We studied dielectric cracking in a four-level Al-Cu interconnect structure that uses hydrogen silsesquioxane (HSQ), a low dielectric constant (low-k) material. The cracks extended down through the passivation layers to the HSQ layer. For the first time we report on passivation dielectric cracks directly related to the level of residual fluorine in a plasma enhanced chemical vapor deposition (PECVD) reactor. It is shown that a silicon nitride pre-coat deposition removes fluorine (F) from the reactor ambient and prevents the dielectric cracks.
APA, Harvard, Vancouver, ISO, and other styles
6

Walder, C., M. Molberg, D. M. Opris, F. A. Nüesch, C. Löwe, C. J. G. Plummer, Y. Leterrier, and J. A. E. Månson. "High k dielectric elastomeric materials for low voltage applications." In SPIE Smart Structures and Materials + Nondestructive Evaluation and Health Monitoring, edited by Yoseph Bar-Cohen and Thomas Wallmersperger. SPIE, 2009. http://dx.doi.org/10.1117/12.815926.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Liu, Tzu-Ling, and Stacey F. Bent. "Area-selective atomic layer deposition of dielectric-on-dielectric for Cu/low-k dielectric patterns." In Advances in Patterning Materials and Processes XXXVI, edited by Roel Gronheid and Daniel P. Sanders. SPIE, 2019. http://dx.doi.org/10.1117/12.2519845.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Nguyen, Son Van, H. Shobha, T. Haigh, J. Chen, J. Lee, T. Nogami, E. Liniger, et al. "Novel low k Dielectric materials for nano device interconnect technology." In 2020 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA). IEEE, 2020. http://dx.doi.org/10.1109/vlsi-tsa48913.2020.9203631.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Louis, Didier, Emile Lajoinie, Douglas Holmes, Shihying Lee, and Catherine Peyne. "Cleaning techniques for low-K dielectric materials for advanced interconnects." In 23rd Annual International Symposium on Microlithography. SPIE, 1998. http://dx.doi.org/10.1117/12.312372.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Shohet, J. L., H. Ren, M. T. Nichols, H. Sinha, W. Lu, K. Mavrakakis, Q. Lin, et al. "The effects of plasma exposure on low- k dielectric materials." In SPIE Advanced Lithography, edited by Ying Zhang. SPIE, 2012. http://dx.doi.org/10.1117/12.917967.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography