To see the other types of publications on this topic, follow the link: Low-k dielectric materials.

Dissertations / Theses on the topic 'Low-k dielectric materials'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 30 dissertations / theses for your research on the topic 'Low-k dielectric materials.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Cho, Taiheui. "Anisotropy of low dielectric constant materials and reliability of Cu/low-k interconnects /." Digital version accessible at:, 2000. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Sun, Minwei. "Applying zeolites as low dielectric constant (low-k) materials." Diss., UC access only, 2009. http://proquest.umi.com/pqdweb?index=14&did=1907180231&SrchMode=1&sid=4&Fmt=2&VInst=PROD&VType=PQD&RQT=309&VName=PQD&TS=1270059102&clientId=48051.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Martini, David M. "Metallization and Modification of Low-k Dielectric Materials." Thesis, University of North Texas, 2008. https://digital.library.unt.edu/ark:/67531/metadc9754/.

Full text
Abstract:
Aluminum was deposited onto both Teflon AF and Parylene AF surfaces by chemical vapor deposition of trimethylaluminum. This work shows that similar thin film (100 Angstroms) aluminum oxide adlayers form on both polymers at the low temperature dosing conditions used in the studies. Upon anneal to room temperature and above, defluorination of the polymer surfaces increased and resulted in fluorinated aluminum oxide adlayers; the adlayers were thermally stable to the highest temperatures tested (600 K). Angle-resolved spectra showed higher levels of fluorination toward the polymer/adlayer interface region. Copper films were also deposited at low temperature onto Teflon AF using a copper hexafluoroacetylacetonate-cyclooctadiene precursor. Annealing up to 600 K resulted in the loss of precursor ligands and a shift to metallic copper. As with aluminum adlayers, some polymer defluorination and resulting metal (copper) fluoride was detected. Parylene AF and polystyrene films surfaces were modified by directly dosing with water vapor passed across a hot tungsten filament. Oxygen incorporation into polystyrene occurred exclusively at aromatic carbon sites, whereas oxygen incorporation into parylene occurred in both aromatic and aliphatic sites. Oxygen x-ray photoelectron spectra of the modified polymers were comparable, indicating that similar reactions occurred. The surface oxygenation of parylene allowed enhanced reactivity toward aluminum chemical vapor deposition. Silicon-carbon (Si-Cx) films were formed by electron beam bombardment of trimethylvinylsilane films which were adsorbed onto metal substrates at low temperatures in ultra-high vacuum. Oxygen was also added to the films by coadsorbing water before electron beam bombardment; the films were stable to more than 700 K, with increasing silicon-oxygen bond formation at elevated temperatures. Copper metal was sputter deposited in small increments onto non-oxygenated films. X-ray photoelectric spectra show three-dimensional copper growth (rather than layer-by-layer growth), indicating only weak interaction between the copper and underlying films. Annealing at elevated temperatures caused coalescence or growth of the copper islands, with spectra indicating metallic copper rather than copper oxide.
APA, Harvard, Vancouver, ISO, and other styles
4

McGowan, Brian Thomas. "Magnetoresistance of a Low-k Dielectric." Thesis, State University of New York at Albany, 2016. http://pqdtopen.proquest.com/#viewpdf?dispub=10100441.

Full text
Abstract:

Low-k dielectrics have been incorporated into advanced computer chip technologies as a part of the continuous effort to improve computer chip performance. One drawback associated with the implementation of low-k dielectrics is the large leakage current which conducts through the material, relative to silica. Another drawback is that the breakdown voltage of low-k dielectrics is low, relative to silica [1]. This low breakdown voltage makes accurate reliability assessment of the failure mode time dependent dielectric breakdown (TDDB) in low-k dielectrics critical for the successful implementation of these materials. The accuracy with which one can assess this reliability is currently a topic of debate.

These material drawbacks have motivated the present work which aims both to contribute to the understanding of electronic conduction mechanisms in low-k dielectrics, and to improve the ability to experimentally characterize changes which occur within the material prior to TDDB failure. What follows is a study of the influence of an applied magnetic field on the conductivity of a low-k dielectric, or in other words, a study of the material’s magnetoresistance.

This study shows that low-k dielectrics used as intra-level dielectrics exhibit a relatively large negative magnetoresistance effect (∼2%) at room temperature and with modest applied magnetic fields (∼100 Oe). The magnetoresistance is attributed to the spin dependence of trapping electrons from the conduction band into localized electronic sites. Mixing of two-electron spin states via interactions between electron spins and the the spins of hydrogen nuclei is suppressed by an applied magnetic field. As a result, the rate of trapping is reduced, and the conductivity of the material increases.

This study further demonstrates that the magnitude of the magnetoresistance changes as a function of time subjected to electrical bias and temperature stress. The rate that the magnetoresistance changes correlates to the intensity with which the material was stressed. It is postulated that the change in magnetoresistance which occurs as a result of bias temperature stress could be used as an alias for measuring the degradation which contributes to TDDB.

Finally, it is shown that the magnetoresistance behavior is non-monotonic. That is, for small values of applied magnetic field (∼2 Oe) the conductivity initially decreases, while for further increase of the magnetic field the conductivity increases to a saturation. The non-monotonic behavior is consistently described in the context of competing spin mixing mechanisms.

APA, Harvard, Vancouver, ISO, and other styles
5

Martini, David M. Kelber Jeffry Alan. "Metallization and modification of low-k dielectric materials." [Denton, Tex.] : University of North Texas, 2008. http://digital.library.unt.edu/permalink/meta-dc-9754.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Ahn, Sang Hoon 1970. "Electrical studies of silicon and low K dielectric material." Thesis, Massachusetts Institute of Technology, 1999. http://hdl.handle.net/1721.1/9130.

Full text
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 1999.
Includes bibliographical references (leaves 108-111).
Junction capacitance measurement is a well-established powerful characterization technique that allows one to explore electrical and physical properties of defects in bulk and interface of electronic materials. Capacitance-Voltage (CV) measures the overall net carrier concentration and a built-in voltage for a diode junction. Deep level transient spectroscopy (DLTS) as one of the most sensitive electrical measurement techniques can detect electrically active impurity concentration on the level of 10-1 to 10-5 of substrate doping concentration. The characteristic energy level and capture cross-section of the traps in the semiconductor energy gap can be extracted from DLTS temperature scans. Coupled with CV free carrier concentration profile, isothermal profiling by DLTS can determine the distribution of electrically active defects in the semiconductor. CV can also measure dielectric constant, K, on a metal-oxide-silicon structure. In this thesis, the junction capacitance technique is a primary tool used to study Er, Fe, and Mo in silicon. Si:5r is a candidate system for a light emitter in Si-based microphotonics. Fe is one of the most troublesome elements that degrade integrated circuit performance and solar cell efficiency. Mo is a fairly unknown contaminant typical of integrated circuit processing. Fluorosilicate glass is being used as a dielectric material for inter-metal levels in the current generation microprocessor. By measuring the reaction kinetics of the Er-related donor state, a defect structure for Si:Er light emitter center was deduced. The role of heterogeneous precipitation in Fe internal gettering was observed and modeled by measurement of residual [FeB] associates following [Fe] saturation, quench, and annealing processing. The diffusivity of Mo was determined and models for both the substitutional and the kick out diffusion mechanism were constructed. Finally, a predictive model for the F-content dependent dielectric constant variation of Si02 was established.
by Sang Hoon Ahn.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
7

Tong, Jinhong. "Study of Interactions Between Diffusion Barrier Layers and Low-k Dielectric Materials for Copper/Low-k Integration." Thesis, University of North Texas, 2003. https://digital.library.unt.edu/ark:/67531/metadc4384/.

Full text
Abstract:
The shift to the Cu/low-k interconnect scheme requires the development of diffusion barrier/adhesion promoter materials that provide excellent performance in preventing the diffusion and intermixing of Cu into the adjacent dielectrics. The integration of Cu with low-k materials may decrease RC delays in signal propagation but pose additional problems because such materials are often porous and contain significant amounts of carbon. Therefore barrier metal diffusion into the dielectric and the formation of interfacial carbides and oxides are of significant concern. The objective of the present research is to investigate the fundamental surface interactions between diffusion barriers and various low-k dielectric materials. Two major diffusion barriers¾ tatalum (Ta) and titanium nitride (TiN) are prepared by DC magnetron sputtering and metal-organic chemical vapor deposition (MOCVD), respectively. Surface analytical techniques, such as X-ray photoelectronic spectroscopy (XPS), transmission electron microscopy (TEM), and atomic force microscopy (AFM) are employed. Ta sputter-deposited onto a Si-O-C low dielectric constant substrate forms a reaction layer composed of Ta oxide and TaC. The composition of the reaction layer varies with deposition rate (1 Å-min-1 vs. 2 Å-sec-1), but in both cases, the thickness of the TaC layer is found to be at least 30 Å on the basis of XPS spectra, which is corroborated with cross-sectional TEM data. Sputter-deposited Cu will not wet the TaC layer and displays facile agglomeration, even at 400 K. Deposition for longer time at 2 Å-sec-1 results in formation of a metallic Ta layer. Sputter deposited Cu wets (grows conformally) on the metallic Ta surface at 300 K, and resists significant agglomeration at up to ~ 600 K. Cu diffusion into the substrate is not observed up to 800 K in the UHV environment. Tetrakis(diethylamido) titanium (TDEAT) interactions with SiO2, Cu and a variety of low-k samples in the presence (~ 10-7 Torr or co-adsorbed) and absence of NH3 result in different products. TDEAT interactions with SiO2 are dominated by Ti interactions with substrate oxygen sites, and that Ti oxide/sub-oxide bond formation can proceed with relatively low activation energy. No Ti carbide or Si carbide formation is observed. Co-adsorption of TDEAT and NH3 on SiO2 at 120K followed by annealing to higher temperature results in enhanced Ti-N bond formation, which is stable against oxidation up to 900K in UHV. Similarly, continuous exposures of TDEAT on SiO2 at 500K in the presence of NH3 exhibit a relatively enhanced Ti-N spectral component. Co-adsorption of NH3 and TDEAT on Cu (poly) surface at 120K, followed by annealing to 500K, results in complete desorption of Ti, N or C-containing species from the Cu substrate. Reaction of TDEAT with a Cu surface at 500K yields a Ti-alkyl species via a b-hydride elimination pathway. TDEAT/Cu interactions are not observably affected by overpressures of NH3 of 10-7 Torr. TDEAT interaction with a porous carbon doped oxide low-k substrate at 700K demonstrates undissociated or partly dissociated Ti-NR species trapped in the dielectrics matrix due to its high porosity. In addition, carbide formation is observed from C(1s) XPS spectra. For a hydrocarbon low-k film, the majority sites (carbon) are highly unreactive towards TDEAT even at higher temperature due to a lack of functional groups to initiate the TDEAT/low-k surface chemistry.
APA, Harvard, Vancouver, ISO, and other styles
8

Simkovic, Viktor. "Novel Low Dielectric Constant Thin Film Materials by Chemical Vapor Deposition." Thesis, Virginia Tech, 1999. http://hdl.handle.net/10919/35627.

Full text
Abstract:
A modified CVD reactor was designed with a deposition chamber capable of accomodating 8" wafers, with the capacity to remotely pyrolyze two different precursors. The design was based on a previous working reactor, with the most notable improvements being a showerhead design for more even delivery of gaseous precursor and a separate heating control of the substrate holder and deposition chamber walls. The performance of the reactor was analyzed by testing the pressure gradients within and the thickness uniformity of films deposited on 8" wafers. The reactor exhibited a linear pressure gradient within, and the thickness uniformity was excellent, with a slight increase in thickness towards inlet of the showerhead. The thickness difference between the maximum and minimum thickness on an 8" wafer was 14%. Films of polyparaxylylene (PPXN), polychloroparaxylylene (PPXC), SiO2, and PPXC/SiO2 were deposited, with deposition rates and indices of refraction comparable to those obtained on the old reactor design. A full factorial study was performed to determine the effect of the substrate temperature, the sublimation temperature, and the pyrolysis temperature on the deposition rates of PPXC. It was determined that the substrate temperature has the greatest effect, with about 50% contribution, and deposition rates increased with decreasing substrate temperature. The sublimation temperature contributed 25%, with increasing sublimation rates leading to higher deposition rates. The pyrolysis contributes very little, with about 2%, and the variance ratio did not fall within a 90% confidence level.

A low dielectric constant polymer, poly(tetrafluoro-p-xylylene) (VT-4), was synthesized by chemical vapor deposition from 4,5,7,8,12,13,15,16-octafluoro-[2.2]-paracyclophane (DVT-4). The main motivation was to find a cheaper alternative to poly( alpha, alpha , alpha ', alpha '-tetrafluoro-p-xylylene) (AF-4) with similar properties. The dielectric constant of VT-4 was measured as 2.42 at 1 MHz, and the in-plane and out-of-plane indices of refraction were 1.61 and 1.47 at 630 nm. The large negative birefringence suggests a low out-of-plane dielectric constant, which is desired for interlayer dielectrics. The VT-4 polymer was found to be stable at 460 oC by thermogravimetric analysis (TGA).

Polymer/Siloxane nanocomposites were studied as an alternate path to a polymer/silica composite. This study showed that incorporation of a four-ringed liquid siloxane precursor into the parylene PPXC is not feasible. A solid precursor cube-like molecule, vinyl-T8, was incorporated with ease. Pyrolysis of vinyl-T8 at different temperatures revealed complex behavior, with the formation of polymerized vinyl-T8 (through free radical addition at the vinyl groups) as well as silica-like structures forming above 500 oC as a result of the breaking up of the cage structure of vinyl-T8. Codepositions of PPXC and vinyl-T8 were then examined as a possible path towards a polymer/silica nanocomposite. At deposition temperatures below 5o C, precipitation of excess vinyl-T8 into cubic micron-sized crystals occurred. As this was undesirable, studies were continued at higher deposition temperatures. A Taguchi orthogonal array was set up to study the effect of the sublimation temperatures of the two precursors as well as the pyrolysis temperature and the substrate temperature on the deposition rate, the index of refraction and the weight loss after a 500 oC anneal. The deposition rate depended mostly on the sublimation temperature of the PPXC and the substrate temperature. The lowest index of refraction (and thus the lowest dielectric constant) was obtained with the lowest sublimation temperatures of 134 oC for PPXC and 195o C for vinyl-T8 and a pyrolysis temperature of 200 oC. Each of the factors was found to have an effect on the index of refraction, with the sublimation temperature of vinyl-T8 having the most influence. The films degraded at 500 oC, indicating that post-deposition annealing of the films did not lead to a conversion of the vinyl-T8 to a SiO2 -like structure (which would be stable at that temperature). X-ray diffraction spectra of the films revealed peaks which were not present for any of the vinyl-T8 films or characteristic of PPXC. Therefore, some type of interaction between the two components occurred and affected the morphology, most likely the formation of a block copolymer. Thus, though polymer/silica films were not attained, the resulting composites had comparable properties with higher deposition rates and a cleaner process.
Master of Science

APA, Harvard, Vancouver, ISO, and other styles
9

Haase, Micha, Ramona Ecke, and Stefan E. Schulz. "Requirements and challenges on an alternative indirect integration regime of low-k materials." Universitätsbibliothek Chemnitz, 2016. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-207219.

Full text
Abstract:
An alternative indirect integration regime of porous low-k materials was investigated. Based on a single Damascene structure the intra level dielectric SiO2 or damaged ULK was removed by using HF:H2O solutions to create free standing metal lines. The free spaces between the metal lines were refilled with a spin-on process of a low-k material. The persistence of barrier materials and copper against HF solutions, the gap fill behavior of the used spin on glass on different structure sizes and the main challenges which have to solve in the future are shown in this study.
APA, Harvard, Vancouver, ISO, and other styles
10

Kazi, Haseeb. "Plasma Interactions on Organosilicate Glass Dielectric Films and Emerging Amorphous Materials- Approach to Pore Sealing and Chemical Modifications." Thesis, University of North Texas, 2015. https://digital.library.unt.edu/ark:/67531/metadc801876/.

Full text
Abstract:
In-situ x-ray photoemission (XPS) and ex-situ FTIR studies of nanoporous organosilicate glass (OSG) films point to the separate roles of radicals vs. VUV photons in the carbon abstraction. The studies indicate that reaction with O2 in presence of VUV photons (~123 nm) result in significant carbon abstraction within the bulk and that the kinetics of this process is diffusion-limited. In contrast, OSG exposed to atomic O (no VUV) results in Si-C bond scission and Si-O bond formation, but this process is self-limiting after formation of ~1 nm thick SiO2 surface layer that inhibits further diffusion. Therefore, the diffusion-dominated kinetics of carbon abstraction observed for OSG exposed to O2 plasma is definitively attributed to the diffusion of O2 down OSG nanopores, reacting at photo-activated sites, rather than to the diffusion of atomic O. Pretreatment of OSG by 900 eV Ar+ ion bombardment also results in formation of 1 nm thick SiO2-like surface overlayer that inhibits O2 diffusion, inhibiting VUV+O2 and O2 plasma-induced reactions, and that the effectiveness of this treatment increases with ion kinetic energy. On the contrary, organosilicate glass (OSG) films with backbone carbon (-Si-R-Si-) exhibit significantly enhanced resistance to carbon loss upon exposure to O2 plasma, radicals and VUV+O2 compared to films with terminal methyl groups (Si-CH3). Films incorporating backbone carbon chains (-Si-R-Si-) were deposited from 1,2 bis (triethoxysilyl) ethane (BTESE) precursor by ebeam or plasma cross-linking. The radical effects on BTESE film indicates negligible carbon loss or Si oxidation, combined with C-O bond formation, under conditions where OSG films with terminal methyl groups exhibit > 80% carbon loss within the surface region of the film. C-O bond formation is never observed for terminal CH3 groups. Further, backbone carbon (-Si-R-Si-) films exposed to VUV+O2 exhibit self-limiting, minimal net carbon loss. This indicates that plasma-induced Si-C bond rupture still occurs in the linking unit, but with a low probability of simultaneous rupture of both Si-C bonds required for abstraction of an in-line methylene bridging group. The data thus demonstrate that OSG films containing backbone carbon groups exhibit greatly reduced rates of carbon loss in the presence of O2 plasma, radicals or VUV+O2 compared to films with terminal carbon groups due to fundamentally different patterns of Si-C bond scission. The results demonstrate the potential of backbone carbon low-k films to resist plasma induced damage.
APA, Harvard, Vancouver, ISO, and other styles
11

Harker, Marnie L. (Marnie Lynn) 1974. "Characterization of low k CVD deposited interlayer dielectrics for integrated circuits." Thesis, Massachusetts Institute of Technology, 1997. http://hdl.handle.net/1721.1/46100.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Roepsch, Jodi Ann. "Characterizaton of Triethoxyfluorosilane and Tetraethoxysilane Based Aerogels." Thesis, University of North Texas, 2001. https://digital.library.unt.edu/ark:/67531/metadc2999/.

Full text
Abstract:
Aerogels are highly porous, low dielectric constant (low k) materials being considered by the semiconductor industry as an interlayer dielectric. Low k materials are needed to overcome capacitance problems that limit device feature sizes. Precursors triethoxyfluorosilane (TEFS) and tetraethoxysilane (TEOS) were used to prepare bulk aerogels. Samples were prepared by sol-gel methods, and then carbon dioxide supercritically-dried. Effects of varying the water to precursor ratio were studied with respect to aerogel properties and microstructure. Methods of analysis for this study include FTIR-ATR, TEM, RBS, EDS, SEM, dielectric constant determination by impedance and surface area by gas adsorption. Si-F bonds were determined to be present in both acid- and base-catalyzed TEFS as well as HF-catalyzed TEOS. Fluorine promotes a fractal network microstructure as opposed to a particle-like microstructure. Surface area and dielectric constant were determined to increase slightly with increases in the water to precursor ratio.
APA, Harvard, Vancouver, ISO, and other styles
13

Su, Ruo Qing. "New composite material based on silsesquioxane polymers and nanoporous particles for low-k [low-kappa] dielectric application." [S.l. : s.n.], 2004. http://deposit.ddb.de/cgi-bin/dokserv?idn=972240519.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Williamson, Jaimal Mallory. "Incorporation of air-filled pores/forms in a polyimide/benzocyclobutene matrix using a sacrifical commerical polymer for low K microelectronic applications." Thesis, Georgia Institute of Technology, 2000. http://hdl.handle.net/1853/19101.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Zagorodniy, Kostyantyn. "Molekularer Entwurf neuer Isolationsmaterialien für mikroelektronische Anwendungen." Doctoral thesis, Saechsische Landesbibliothek- Staats- und Universitaetsbibliothek Dresden, 2009. http://nbn-resolving.de/urn:nbn:de:bsz:14-qucosa-25484.

Full text
Abstract:
Die ITRS (International Technology Roadmap for Semiconductors) sagt voraus, dass die fortlaufende Miniaturisierung der Transistoren und Verdrahtungen auch neue Isolationsmaterialien mit äußerst niedrigen (ultralow) Dielektrizitätskonstanten k erfordern wird. Die Miniaturisierung der Bauteile der ULSI (Ultra Large Scale Integration) führt zu starken Anforderungen an die Fertigung der kritischen Bereiche (backend-of-line, BEoL). Die ITRS deutet darauf hin, dass die k-Werte bis zu 2.0 für die 45 nm Technologie reduziert werden müssen, und zu noch niedrigeren k-Werten (k  1.5) für die nachfolgenden Jahre. Ergänzend zur äußerst niedrigen dielektrischen Konstante müssen die Isolatoren auch über entsprechende mechanische Eigenschaften verfügen. Die vorliegende Arbeit stellt Forschungen vor, die das Ziel haben, mittels modernen ab-initio und halbempirischen theoretischen Methoden neuartige Isolationsmaterialien für zukünftige mikroelektronische Anwendungen zu entwerfen. Die umfangreichen eingesetzten Rechenmethoden wurden verwendet, um strukturelle und physikalische (mechanische, dielektrische und elektronische) Eigenschaften von entworfenen Zwischenschichtsdielektrika zu bestimmen. Eine neue Art von Materialien wird vorgestellt, die als ein möglicher Kandidat für isolierende ultralow-k dünne Schichte zwischen Metallleiterbahnen in zukünftigen CMOS (Complementary Metal-Oxide-Semiconductor) Technologien fungieren sollen. Die Struktur der neuartigen Materialien wird durch ein Modell beschrieben, das ein geordnetes dreidimensionales Netzwerk (Mosaikstruktur) darstellt. Dies besteht aus drei Hauptkomponenten: Knoten, Kanten und Topologie der Anordnung. Fullerenmoleküle (C60) werden als Knoten des Netzwerkes verwendet. Die Knoten werden durch Verknüpfermoleküle entlang der Kanten der Mosaikzelle angekoppelt. Dies wird durch kovalente Bindungen realisiert. Als Verknüpfermoleküle werden Kohlenwasserstoff- Kettenmoleküle verwendet. Einfache kubische, flächenzentrierte kubische und diamantähnliche Topologien werden für Anordnungen des Netzwerkes betrachtet. Das Innere einer Netzwerkzelle repräsentiert eine Nanopore der Größe in Bereich von 1 nm. Zunächst werden am Beispiel fluorierter Fullerene Probleme der molekularen Polarisierbarkeit untersucht. In Molekülen mit ionischem Beitrag zur Bindung kann der Beitrag der Kernverschiebungen (wegen des äußeren Feldes) zur statischen Polarisierbarkeit entscheidend sein. Mittels der Finite Field Methode wird die Struktur mit und ohne ein endliches äußeres elektrisches Feld optimiert. Dabei wird die Optimierung durch Minimierung der Gesamtenergie durchgeführt und die molekulare Polarisierbarkeit aus dem induzierten Dipolmoment bestimmt. In C60Fn erhöht meistens das Fluorieren die Polarisierbarkeit. Nur für n = 2 und 18, wobei das Molekül ohne ein äußeres Feld ein sehr großes Dipolmoment hat, wird die Polarisierbarkeit verringert. Für große Werte n (n = 20, 36 und 48) wird die Polarisierbarkeit pro zusätzliches Fluoratom wegen Kernverschiebungen deutlich erhöht. Die Modifizierung der Knoten des Netzwerkes wird betrachtet und die Anwendbarkeit des Additivitätsmodells diskutiert. Die Dielektrizitätskonstante des reinen flächenzentrierten kubischen Fullerengitters beträgt etwa 4.4. Die Einführung der Verknüpfermoleküle zwischen benachbarten Fullerenmolekülen und die gleichzeitige Verwendung von auf Kohlenstoffatomen basierten käfigförmigen Molekülen reduziert die Dichte des Materials. Dies ergibt eine beträchtliche Verringerung der makroskopischen Polarisierbarkeit des Materials. Die Struktureinheit, die aus zwei Fullerenmolekülen und einem Kohlenwasserstoff-Verknüpfermolekül besteht, wird mittels quantenchemischer Methoden (DFTB Molekulardynamik) optimiert. Es werden die Dichte der lokalen Dipole und elektronische Effekte betrachtet, um die effektive Dielektrizitätskonstante des Modells abzuschätzen. Die Berechnungen zeigen, dass k-Werte von etwa 1.4 erreicht werden können, wenn C6H12 Kettenmoleküle verwendet werden, um die C60-Moleküle im Netzwerk mit diamantähnlicher Symmetrie zu verknüpfen. Weiterhin werden molekulare Cluster mit angelegten periodischen Randbedingungen für einfache kubische und diamantähnliche Topologien konstruiert. Kombinationen der klassischen und quantentheoretischen Methoden werden eingesetzt, um die Struktur zu optimieren, Kompressionsmodule zu berechnen und die dielektrischen Eigenschaften der fullerenbasierten Materialien zu berechnen. Dies hat das Ziel, ultralow-k Isolatoren mit entsprechenden mechanischen Eigenschaften zu finden. Es wird die kovalente Verknüpfung der C60 Moleküle untersucht und sowohl die Länge und chemische Zusammensetzung des Verknüpfermoleküles als auch die Verknüpfungsgeometrie variiert. Gemäß dem entworfenen Modell werden Strukturen mit einfacher kubischer und diamantähnlicher Topologie des Netzwerkes als vielversprechende Kandidaten betrachtet. Die (statische) Dielektrizitätskonstanten k und Kompressionsmodule B sind für einige vorgeschlagene Materialien im Bereich von k = 1.7 bis 2.2 und beziehungsweise von B = 5 bis 23 GPa. Das Clausius-Mossotti Modell wird zur Bestimmung der Dielektrizitätskonstante der entworfenen Strukturen verwendet. In den nächsten Schritten der Arbeit werden die Wege der Verbesserungen für das vorgeschlagene Modell betrachtet. Es wird analysiert, auf welche Art Verknüpfermoleküle an die Knoten gebunden werden können, um die mechanischen und dielektrischen Eigenschaften der generierten ultralow-k Strukturen zu verbessern. Es gibt zwei mögliche verschiede Arten, die Verknüpfermoleküle > C = C < und > C – CH2 – CH2 – C < an das Käfigmolekül C60 anzukoppeln. Die Berechnungen zeigen, dass es im gegenwärtigen Verbesserungsschritt möglich ist, für die einfache kubische Topologie Eigenschaftskombinationen mit k = 2.2 und B = 33 GPa zu bekommen. In der vorliegenden Arbeit wurde eine theoretische Methode ¬¬– sogenannter molekularer Entwurf – entwickelt und erfolgreich angewandt. Die theoretische Behandlung ist kompliziert, weil Wechselwirkungen im atomaren Skalabereich und auf einem strukturellen Niveau von 1 nm zusammen betrachtet werden müssen. Dies Verfahren erfordert die Anwendung komplementärer theoretischen Methoden, um das gesamte Problem beschreiben zu können. Die Methoden schließen klassische, kontinuierliche theoretische und quantenchemische Näherungen ein. Der Vorteil dieser Methode ist, dass verschiedene mögliche Kandidaten für ultralow-k Dielektrika theoretisch getestet werden können, ohne teure und zeitaufwendige Experimente durchzuführen
The International Technology Roadmap for Semiconductors (ITRS) predicts that continued scaling of devices will require insulating materials with ultralow dielectric constant k. The shrinking of device dimensions of ultra-large-scale integrated (ULSI) chips imposes strong demands on the backend of the line (BEoL) interconnect structures. The ITRS indicates that the k values need to be reduced to 2.0 for the 45 nm technology node or below (k  1.5) in the next few years. Additionally to extremely low dielectric constants, the insulating materials must have also suitable mechanical properties. The work represents research, which is aimed to support molecular design and investigations of modelled novel insulating materials for future application in microelectronics by means of theoretical ab-initio and semiempirical methods. A wide range of computational methods were used to estimate structural and physical (mechanical, dielectrical and electronic) properties of the designed interlayer dielectrics (ILDs). A new class of materials is presented that is supposed to be a potential candidate for isolating ultralow-k thin films between metal on-chip interconnects in future CMOS technology nodes. The structure of the novel materials is described by a model that assumes an ordered three-dimensional network (mosaic structure) consisting of three main components: nodes, edges and topology of arrangement. Fullerene (C60) molecules are used as the nodes of the network. The nodes are connected by linker molecules along the edges of the mosaic cells through a covalent bonding. Hydrocarbon chain molecules are used as the linkers. Simple cubic, face-centred cubic and diamond-like topologies of the network are considered. The interior of a network cell represents a nanopore of a 1-nm scale. At first problems of molecular polarizability are investigated considering the case of fluorinated fullerenes. In molecules with ionic contribution to the binding, the contribution of nuclear displacements (due to the external field) to the static polarizability can be decisive. Using the finite field method, the structure is optimized with and without a finite external electric field by a total energy minimization and the polarizability is calculated from the induced dipole moment. In C60Fn, fluorination mostly increases the molecular polarizability. Only for n = 2 and 18, where the molecule without an external field has a very large dipole moment, fluorination does decrease it. For large n (n = 20, 36, and 48), the polarizability per added F atom due to nuclear displacements is increased by a factor of about 2. The modification of the nodes of the network is considered and the validity of the additivity model is discussed. The dielectric constant of the pure fullerene face-centred cubic lattice is about 4.4. The introduction of bridge molecules between neighbouring fullerene molecules and the simultaneous usage of cage-like molecules based on carbon atoms reduces the density of the material. This results in a considerable decrease of the macroscopic polarizability of the material. The structural units of the models consisting of two fullerenes and a hydrocarbon bridge molecule are optimized by means of quantum chemical methods (DFTB molecular dynamics). The density of local dipoles and electronic effects are considered to estimate the effective dielectric constant of the models. It is shown that k values of about 1.4 can be obtained if C6H12 chain molecules are used to connect C60 molecules on a network with diamond-like symmetry. Further, molecular clusters with applied periodic boundary conditions are constructed for simple cubic and diamond-like topologies. Combinations of classical and quantum-theoretical approaches are used to optimize the structure, to calculate bulk moduli, and for the assessment of the dielectric properties of fullerene-based materials with the goal to find ultralow-k insulators with suitable mechanical properties. The covalent linking of C60 molecules is studied and the length and chemical composition of the linker molecule as well as the linkage geometry is varied. According to the molecular design-based model, structures with simple cubic and diamond-like topology of the network are proposed as promising candidates. The (static) dielectric constants k and elastic bulk moduli B of the proposed materials are in the range of k = 1.7 to 2.2 and B = 5 to 23 GPa, respectively. The Clausius-Mossotti-Model is used to estimate dielectric constants of the designed structures. In the next steps of the work the ways of improvements for the proposed model are considered. The way to connect linker molecules to the node molecules is analyzed, in order to improve the mechanical and dielectric properties of the generated ultralow-k structures. Two different types of bonding linker molecules to the cage C60 molecule with the > C = C < and > C – CH2 – CH2 – C < linker molecules are possible. It is shown that at the present improvement step it is possible to get property combinations with dielectric constant of k = 2.2 and bulk modulus of B = 33 GPa for the simple cubic topology. In this work a theoretical method called molecular design is developed and successfully applied. The theoretical treatment is difficult since interactions both on the atomic scale and on the structural level of 1 nm must be considered. This approach requires the application of complementary theoretical methods to describe the complex problems. The methods include classical, continuum theoretical and quantum-chemical approximations. The advantage of the present approach is that various possible candidates for ultralow-k dielectrics can be tested theoretically without performing expensive and time-consuming experiments
APA, Harvard, Vancouver, ISO, and other styles
16

Rimal, Sirish. "Characterization of Post-Plasma Etch Residues and Plasma Induced Damage Evaluation on Patterned Porous Low-K Dielectrics Using MIR-IR Spectroscopy." Thesis, University of North Texas, 2016. https://digital.library.unt.edu/ark:/67531/metadc849694/.

Full text
Abstract:
As the miniaturization of functional devices in integrated circuit (IC) continues to scale down to sub-nanometer size, the process complexity increases and makes materials characterization difficult. One of our research effort demonstrates the development and application of novel Multiple Internal Reflection Infrared Spectroscopy (MIR-IR) as a sensitive (sub-5 nm) metrology tool to provide precise chemical bonding information that can effectively guide through the development of more efficient process control. In this work, we investigated the chemical bonding structure of thin fluorocarbon polymer films deposited on low-k dielectric nanostructures, using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Complemented by functional group specific chemical derivatization reactions, fluorocarbon film was established to contain fluorinated alkenes and carbonyl moieties embedded in a highly cross-linked, branched fluorocarbon structure and a model bonding structure was proposed for the first time. In addition, plasma induced damage to high aspect ratio trench low-k structures especially on the trench sidewalls was evaluated both qualitatively and quantitatively. Damage from different plasma processing was correlated with Si-OH formation and breakage of Si-CH3 bonds with increase in C=O functionality. In another endeavor, TiN hard mask defect formation after fluorocarbon plasma etch was characterized and investigated. Finding suggest the presence of water soluble amines that could possibly trigger the formation of TiN surface defect. An effective post etch treatment (PET) methods were applied for etch residue defect removal/suppression.
APA, Harvard, Vancouver, ISO, and other styles
17

Park, Seongho. "Materials, Processes, and Characterization of Extended Air-gaps for the Intra-level Interconnection of Integrated Circuits." Diss., Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/22598.

Full text
Abstract:
Materials, Processes, and Characterization of Extended Air-gaps for the Intra-level Interconnection of Integrated Circuits Seongho Park 157 pages Directed by Dr. Paul A. Kohl and Dr. Sue Ann Bidstrup Allen The integration of an air-gap as an ultra low dielectric constant material in an intra-metal dielectric region of interconnect structure in integrated circuits was investigated in terms of material properties of a thermally decomposable sacrificial polymer, fabrication processes and electrical performance. Extension of the air-gap into the inter-layer dielectric region reduces the interconnect capacitance. In order to enhance the hardness of a polymer for the better process reliabilities, a conventional norbornene-based sacrificial polymer was electron-beam irradiated. Although the hardness of the polymer increased, the thermal properties degraded. A new high modulus tetracyclododecene-based sacrificial polymer was characterized and compared to the norbornene-based polymer in terms of hardness, process reliability and thermal properties. The tetracyclododecene-based polymer was harder and showed better process reliability than the norbornene-based sacrificial polymer. Using the tetracyclododecene-based sacrificial polymer, a single layer Cu/air-gap and extended Cu/air-gap structures were fabricated. The effective dielectric constant of the air-gap and extended air-gap structures were 2.42 and 2.17, respectively. This meets the requirements for the 32 nm node. Moisture uptake of the extended Cu/air-gap structure increased the effective dielectric constant. The exposure of the structure to hexamethyldisilazane vapor removed the absorbed moisture and changed the structure hydrophobic, improving the integration reliability. The integration processes of the air-gap and the extended air-gap into a dual damascene Cu metallization process has been proposed compared to state-of-the-art integration approaches.
APA, Harvard, Vancouver, ISO, and other styles
18

Albertin, Kátia Franklin. "Estudo de camadas dielétricas para aplicação em capacitores MOS." Universidade de São Paulo, 2007. http://www.teses.usp.br/teses/disponiveis/3/3140/tde-08012008-144158/.

Full text
Abstract:
Foram estudados filmes de oxinitreto de silício obtidos por PECVD à 320°C, a partir da mistura gasosa de N2O+SiH4+He, com diferentes valores de pressão e potência de deposição com o objetivo de produzir boa qualidade de interface deste material com o Si e de obter uma baixa densidade de carga efetiva visando a aplicação desses filmes em dispositivos semicondutores MOS. Os resultados mostraram que com uma pressão de deposição de 0,160 mbar e potências menores que 125 W/cm2 é possível obter um valor de densidade de estados de interface (Dit) de 4x1010 eV-1.cm-2, campo elétrico de ruptura (Ebd) de 13 MV/cm, valores comparáveis ao SiO2 térmico e uma densidade de carga efetiva (Nss) de 4x1011 cm-2. Segundo resultados experimentais esse valor de Nss é o mínimo possível que se pode atingir com a limpeza química utilizada em nosso laboratório. Pode-se dizer que estes são resultados bastante interessantes considerando que se trata de um material obtido por PECVD à baixa temperatura, porém viável para aplicação em dispositivos MOS. Iniciando os estudos com dielétricos de maiores valores de constante dielétrica optamos por estudar filmes de TiOx (k=40-100), obtidos por sputtering reativo, a partir da mistura gasosa de Ar+O2 e utilizando alvo de Ti. Foram fabricados capacitores MOS com estes filmes e obteve-se valores de constante dielétrica que variaram de 40-160. Porém esses materiais ainda apresentavam valores apreciáveis de corrente de fuga que foram minimizadas em ordens de grandeza quando utilizados dielétricos de dupla camada com SiO2 ou SiOxNy (otimizado neste trabalho) na interface, além de se observar uma melhora significativa da qualidade de interface. Utilizando dupla camada dielétrica com filmes de SiOxNy e SiO2, ainda espessos (³ 1nm) para camada intermediária, obteve-se uma constante dielétrica efetiva em torno de 20. Vale ressaltar que os dois filmes SiOxNy e TiOx, conseqüentemente a dupla camada, foram fabricados a baixas temperaturas.
Silicon oxynitride films obtained by the PECVD technique from N2O+SiH4+He gaseous mixtures, at 320°C, with different deposition pressure and RF power were studied intending to improve the interface quality with Si, decreasing the effective charge density and the interface state density in order to utilize them in MOS semiconductor devices. The results showed that with a deposition pressure of 0.160 mbar and a RF power density lower than 125 W/cm2 it is possible to obtain interface state density (Dit) values of 4x1010 eV-1.cm-2, Electrical Breakdown (Ebd) of 13 MV/cm, comparable with the obtained for thermally grown SiO2 , and an effective charge density (Nss) of 4x1011 cm-2. According with experimental results this Nss value is the minimum attainable with our chemical cleaning process. In this way it can be said that these results are very promising, considering that these materials were obtained by PECVD at low temperatures, but still viable for MOS devices application. In order to initiate studies with high dielectrics constant material, TiOx films (k= 40-180), obtained by reactive sputtering through the Ar+O2 gaseous mixture utilizing a Ti target, were chosen. MOS capacitors with these films were fabricated and dielectric constant values varying from 40 to 160 were obtained. However, until now, these materials have presented appreciable leakage current values, which were, minimize by orders of magnitude with the addition of a thin SiO2 or SiOxNy (optimized in this work) layer at the interface were utilized. This thin layer also resulted in a significant improvement of the interface quality. Utilizing double dielectric layer with SiOxNy or SiO2, still thick (³ 1nm) as intermediate layer a dielectric constant value of 20 was obtained. Its important to mention that the SiOxNy and TiOx films, and consequently the double layer, were deposited at low temperatures.
APA, Harvard, Vancouver, ISO, and other styles
19

Prakash, Adithya. "Investigation on electrical properties of RF sputtered deposited BCN thin films." Master's thesis, University of Central Florida, 2013. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/5838.

Full text
Abstract:
The ever increasing advancements in semiconductor technology and continuous scaling of CMOS devices mandate the need for new dielectric materials with low-k values. The interconnect delay can be reduced not only by the resistance of the conductor but also by decreasing the capacitance of dielectric layer. Also cross-talk is a major issue faced by semiconductor industry due to high value of k of the inter-dielectric layer (IDL) in a multilevel wiring scheme in Si ultra large scale integrated circuit (ULSI) devices. In order to reduce the time delay, it is necessary to introduce a wiring metal with low resistivity and a high quality insulating film with a low dielectric constant which leads to a reduction of the wiring capacitance. Boron carbon nitride (BCN) films are prepared by reactive magnetron sputtering from a B4C target and deposited to make metal-insulator-metal (MIM) sandwich structures using aluminum as the top and bottom electrodes. BCN films are deposited at various N2/Ar gas flow ratios, substrate temperatures and process pressures. The electrical characterization of the MIM devices includes capacitance vs. voltage (C-V), current vs voltage, and breakdown voltage characteristics. The above characterizations are performed as a function of deposition parameters.
M.S.E.E.
Masters
Electrical Engineering and Computing
Engineering and Computer Science
Electrical Engineering
APA, Harvard, Vancouver, ISO, and other styles
20

Bao, Junjing 1981. "Interaction between plasma and low-k dielectric materials." Thesis, 2008. http://hdl.handle.net/2152/3820.

Full text
Abstract:
With the scaling of devices, integration of porous ultra low-κ dielectric materials into Cu interconnect becomes necessary. Low-k dielectric materials usually consist of a certain number of methyl groups and pores incorporated into a SiO₂ backbone structure to reduce the dielectric constant. They are frequently exposed to various plasmas, since plasma is widely used in VLSI semiconductor fabrication such as etching, ashing and deposition. This dissertation is aimed at exploring the interaction between plasma and low-κ dielectric surfaces. First, plasma assisted the atomic layer deposition (ALD) of Ta-based Cu barriers. Atomic layer deposition of Ta barriers is a self-limited surface reaction, determined by the function groups on the low-κ dielectric surface. But it was found TaCl₅ precursor could not nucleate on the organosilicate low-κ surface that was terminated with methyl groups. Radical NH[subscript x] beam, generated by a microwave plasma source, could activate the surface through exchanging with the methyl groups on the low-κ surface and providing active Si-NH[subscript x] nucleation sites for TaCl₅ precursors. Results from Monte Carlo simulation of the atomic layer deposition demonstrated that substrate chemistry was critical in controlling the film morphology. Second, the properties of low-κ dielectric materials tended to degrade under plasma exposure. In this dissertation, plasma damage of low-κ dielectric surface was investigated from a mechanistic point of view. Both carbon depletion and surface densification were observed on the top surface of damaged low-κ materials while the bulk remained largely uninfluenced. Plasma damage was found to be a complicated phenomenon involving both chemical and physical effects, depending on chemical reactivity and the energy and mass of the plasma species. With a downstream plasma source capable of separating ions from the plasma beam and an in-situ x-ray photoelectron spectroscopy (XPS) monitoring of the damage process, it was clear that ions played a more important role in the plasma damage process. Increase of dielectric constant after plasma damage was mainly attributed to moisture uptake and was confirmed with quantum chemistry calculation. Annealing was found to be effective in mitigating moisture uptake and thus restoring κ value. Finally, oxygen plasma damage to blanket and patterned low-κ dielectrics was studied in detail. Energetic ions in oxygen plasma contributed much to the loss of film hydrophobicity and dielectric constant through the formation of C=O and Si-OH. Based on results from residual gas analyses (RGA), three possible reaction paths leading to carbon depletion were proposed. This was followed by analytical solution of the evolution of carbon concentration during O₂ plasma damage. O₂ plasma damage to patterned CDO film was studied by TEM/EELS. And the damage behavior was simulated with Monte Carlo method. It was found that the charging potential distribution induced by plasma was important in determining the carbon loss in patterned low-k films. The charging potential distribution was mainly related to the geometry of low-k trench structures. To recover the dielectric constant, several recovery techniques were tried and briefly discussed.
text
APA, Harvard, Vancouver, ISO, and other styles
21

chang, tzu-hsien, and 莊子賢. "Study on Etching of Ultra Low-k Dielectric Constant Materials." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/68080826236072852834.

Full text
Abstract:
碩士
國立臺灣海洋大學
輪機工程系
93
As ULSI are scaled down to deep submicron regime, interconnect delay becomes a increasingly dominant at intrinsic gate delay. Many new low dielectric constant materials have been developed to reduce the RC delay. However, the mechanical strength of porous low k materials is weaker than traditional low-k materials. The discussion of internal stress in the low-k material becomes a very important issue. This paper investigated the effect of etching of ultra low-k material and the integration process of copper interconnects. Experimental results showed that etching (CF4/Ar) characteristics could be discussed by three parts. In part 1, we discussed about the electrical, chemical and physical characteristic. In part 2, the experiments have been carried out to find the optimal performance etching rate parameters.In part 3, we discussed the integration of copper, diffusion barrier TaN and low-k material. We fabricated a diffusion barrier layer, TaN, low-k dielectric and developed the processes integration for Cu metallization. The investigation of the stress mechanism for damascene structure was analyzed by software. We know that after CF4/Ar treatment, the different ratios would cause the rise of waterproof and the K value reduced. The chemical compositions were similar as as-deposition. Therefore, the level of surface roughness was one of the factors that influenced the leakage current. Among parameters of HDP system, it is observed that mixed gases of CF4 and Ar are suitable for the low-k plasma etching. And based on our experiment, the optimal condition is obtained with the Ar ratio reaches 20%. According to the experimental and ANSYS results, the smaller linewidth had larger internal stress.
APA, Harvard, Vancouver, ISO, and other styles
22

Sheng-WenChen and 陳聖文. "Synthesis and Characteristics of Carbon-doped Silicon Oxide Low-k Dielectric Constant Materials." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/95957482931989024896.

Full text
Abstract:
博士
國立成功大學
材料科學及工程學系碩博士班
100
The objective of this study is to investigate a suitable low dielectric material (low-k)and copper (Cu)electrochemical mechanical planarization (ECMP) mechanism for the application of the nanometer integrated circuits. The main focus of this dissertation can be divided into two parts. First, the film is deposited from the decomposition of two precursors in the plasma. Both matrix (DEMS) and precursors (C10H16) are transformed into species that eventually lead to the formation of a hybrid film composed of an organ silicate-based matrix enclosing organic inclusions. Then, during the UV curing and thermal treatment, the organic phase, mostly consisting of the porogen molecule fragment, is removed. As the result, the film become porous and has ultra low-k properties and has better mechanical and electric properties by UV curing than thermal annealing. Second, we have investigated the microstructures and growth mechanism of passive film on the Cu surface by high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. The electrochemical properties of the samples were investigated by electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization curve. In addition, we have evaluated the planarization efficiency (PE) after ECMP processing in the in the semiconductor integrated circuits.
APA, Harvard, Vancouver, ISO, and other styles
23

Wei, Bor-Jou, and 魏伯州. "Studies on the Low-k Dielectric and High Reliability Thin Film Materials for Interconnects." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/97492674923759991673.

Full text
Abstract:
博士
國立中興大學
材料科學與工程學系所
100
In order to integrated circuit (IC) industry following Moore’s law, for scal-ing downing the device the multilevel interconnect had used to increase the densities of circuits on a chip, interconnect delay is becoming predominant over device delay time. As the device dimensions continue to shrink, interconnect delay becomes a lim-iting factor for increasing circuit device speed. The multilevel interconnect basically consists of metal layers, inter-layer dielectric (ILD) and inter-metal dielectric (IMD). As the device dimensions continue to shrink, interconnect delay becomes a limiting factor for increasing circuit device speed. Since interconnect delay is the product of the resistance in metal interconnect and the capacitance between the metal lines, the minimization of the parasitic capacitance and the resistance in interconnect is required. Incorporation of low-dielectric-constant materials in multilevel interconnect can ef-fectively reduce parasitic capacitance, thus decreasing the transmission delay. In this study, several kinds of low dielectric constant and resistors materials are investigated, including fluorine-silicate-glass (FSG), carbon-doped organo-silicate glass using trimethylsilane (3MS) and diethoxymethylsilane (DEMS) as precursors, and Ti/TiN thin films. The effects of the low-k dielectric constant materials on the in-tegration issue are studied to evaluate the compatibility of low-k materials on semi-conductor process. Moreover, the reliability of Ti/TiN thin film resistors were demon-strated no wear out issue below 311oC. As N2 is added in the FSG films by high-density-plasma chemical vapor deposition (HDP-CVD) method, higher fluorine concentration, reduced dielectric constant and improved gap filling ability of the deposited films have been achieved. It is proposed that the improvement of stability is correlated with the reduction of unstable fluorine bonds in the N-FSG films. Furthermore, the thermal stability of the N-FSG films was also identified by Al wiring delamination check. After annealing, the blister was observed only in non-N2 FSG film with 5.5 % Si-F concentration, while no blisters or delamination were observed when N2 is introduced into the FSG process. Therefore, the N-FSG film, deposited by HDP-CVD, is a good candidate for interconnects dielectric application. Lower dielectric constant as well as higher mechanical strength of plasma en-hanced chemical vapor deposition (PE-CVD) low-k films is required for IC speed and package. Both low-k films deposited using 3MS and DEMS precursors have similar elemental composition, but different bonding structures, leading to different integra-tion results. DEMS-based low-k films have a lower dielectric constant, higher hard-ness, and higher chemical and thermal stability than 3MS-based low-k films. From the results of blanket films and four-level interconnect test devices, the DEMS-based films were found to have superior electrical performance than that of the 3MS-based films. Ti/TiN thin film resistors were characterized by making electrical and reliability measurements. The results demonstrate that the Ti/TiN thin film resistor has an ex-cellent thermal stability up to 350oC. Based on electrical measurement and stress, the Ti layer has a lower electrical resistance than the TiN layer. Furthermore, the main failure mechanism of the Ti/TiN thin film resistors is thermally activated by Joule-heating. The thermal activation energy for failure is determined to be 1.8 eV for the Ti layer and 1.2 eV for the TiN layer. Based on this result, Ti/TiN thin film resis-tors exhibit no significant change in resistance during a lifetime of ten years if their temperature remains below 311oC.
APA, Harvard, Vancouver, ISO, and other styles
24

Lee, Hua-Shan, and 李華山. "Investigation of Electrical Characteristics of KF Low-k Dielectric Material." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/25682379196474455954.

Full text
Abstract:
碩士
國立成功大學
電機工程學系碩博士班
95
In this thesis, interaction between copper electrode and low dielectric constant KF ( Carbon-Doped silicon Oxide, CDO ) film was demonstrated. The Metal-Insulation-Semiconductor (MIS) capacitors were fabricated with a copper electrode. The breakdown electrical field was as high as 8.3 MV/cm under strict Bias Temperature Stress ( BTS ) conditions ( at 1 MV/cm and 170oC for 1000 sec ). In this work, we have investigated the relationship between leakage current and electrical field. We also have analyzed which transport mechanism is dominated. Finally, the resistant capability of Cu diffusion in KF film was verified with Cu diffusion rate measured by C-V characteristics.
APA, Harvard, Vancouver, ISO, and other styles
25

Jiang, Cheng-Hong, and 姜政宏. "Suppression of Copper Diffusion by γ Irradiated low-k Organic Dielectric Material." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/75297329337095924741.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Duh, Cheng-Dow, and 杜政道. "The Interaction and Mechanism between Copper and low-k Dielectric Constant Material." Thesis, 2000. http://ndltd.ncl.edu.tw/handle/04849693019200591176.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

曾曉琪. "Investigation on planarization process integration of porous low dielectric constant (K<=2.2) material." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/57592234521916664616.

Full text
Abstract:
碩士
國立交通大學
電子工程系
90
As ULSI circuits are scaled down to deep submicron regime, interconnect delay becomes increasingly dominant over intrinsic gate delay. To reduce the RC delay time, many low dielectric constant materials have been developed. However, the mechanical strength of porous low k materials is worse than that of dense materials. For process integration considerations, we will investigate the impacts of CMP (chemical mechanical polish) on electrical characteristics of porous dielectrics.. In this thesis, we will investigate the impacts of the CMP process with various slurries on the ultra low-k PPSZ-MTM (porous Methylsilsesquiazane). The dielectric characteristics are not degraded after three kinds of slurry treatment. In parallel, a novel oxygen plasma pre-treatment has been proposed in order to increase the polish rate of the ultra low-k PPSZ-M for the duration of CMP process. O2 plasma treatment can convert PPSZ-M surface from hydrophobic into hydrophilic. The hydrophilic surface can increase the reaction rate between PPSZ-M and chemical slurry during CMP process, resulting in the increase of CMP polish rate. Furthermore, it is found that the dielectric characteristics of O2 plasma-treated PPSZ-M after CMP process are similar to that of the as-cured PPSZ-M. As a result, O2 plasma pre-treatment has an extreme potential in the future IC fabrication. In addition, we have also explored the leakage mechanism of the PPSZ-M after the CMP process and the reliability issue related to copper penetration in porous silica film. The leakage mechanism of the O2 plasma-treated PPSZ-M is the ionic conduction. After the CMP process, the leakage current behavior transform from ionic conduction to Schottky-like mechanism. Under the high temperature and bias stress, the electrical characteristics are still not degraded. Consequently, the integration between PPSZ-M and CMP has extreme potential in the new generation of the ICs.
APA, Harvard, Vancouver, ISO, and other styles
28

Feng, Kuei-Chih, and 馮奎智. "Development and characterization of novel CaMgSi2O6 glass-ceramic materials as low-K microwave dielectrics." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/42077374256205890308.

Full text
Abstract:
博士
國立臺灣科技大學
機械工程系
101
Development and characterization of a CaMgSi2O6 diopside phase glass-ceramic, sintered at low temperature (≦1000oC) and reducing atmosphere as a microwave dielectric material, were carried out in this work. Different amount of monoclinic zirconia (m-ZrO2) nucleating agent was added into MgO-CaO-2SiO2 system to synthesize and enhance the quality factor (Q×f) of CaMgSi2O6 diopside glass-ceramic for low sintering temperature process (from 850oC to 950oC). Experimental results reveal that the t-ZrO2 forms in the amorphous MgO-CaO-2SiO2 matrix first, and then crystalline CaMgSi2O6 phase is nucleated and grown around the t-ZrO2 after sintering due to heterogeneous nucleating. Formation of t-ZrO2 can be attributed to the diffusion of Ca2+ and Mg2+ into the m-ZrO2 and led to transfer to a t-ZrO2. It is found that there are the lowest amorphous content and the highest quality factors due to crystal growth of the CaMgSi2O6 diopside phase when the specimens were sintered at 950oC. Quality factor of the specimens was markedly enhanced by adding ZrO2 nucleating agent, indicating that the control of nucleation and crystal growth for the diopside phase plays a key role in the dielectric properties of CaMgSi2O6 glass-ceramic material. In order to increase the crystallization of CaMgSi2O6 glass-ceramic in the material, a two-stage heat treatment was adopted. Melted glass frits were heated up first above the critical nucleation temperature (Tcn, ~812oC), and then sintered temperature at 950oC for enhancing the quality factors (Q×f). Specimens using two-stage heat treatment and annealing above Tcn show dense surface and high quality factors, even more than 31% as many quality factors as specimens using one-stage heat treatment due to increase of the nucleating quantity. In additions, microwave dielectric ceramic need to reduce the temperature coefficient of resonant frequency (τf) for achieving high stability in different environment. Therefore, the diopside glass frits with first-stage heat treatment, which have been heated above Tcn for decreasing the activation energy of crystallization, were doped with CaTiO3 and sintered at 950oC. The as-sintered specimens present a near zero of τf value due to the CaMgSi2O6 and CaTiO3 were formed totally. However, diopside glass-ceramic doped with CaTiO3 ceramic possesses improved τf but shows decrease of quality factors. A reactive route of CaMgSi2O6 glass ceramic doped with MgTiO3 ceramic to form optimum phases in the material was adopted for achieving a very low dielectric constant (εr), high quality factors (Q×f), and near zero of temperature coefficient of resonant frequency (τf) as a microwave dielectric material. The as-sintered specimens consist of Mg2SiO4 and CaTiO3, which result in a high quality factor and an improved temperature coefficient of resonant frequency, because of the Mg2SiO4 and CaTiO3 possess ultra-high Q×f and positive τf characteristics, respectively. Finally, experimental results show that the diopside glass-ceramic sintered at reducing atmosphere exhibits low resistivity and low quality factor due to a great deal of free electrons and oxygen vacancies in the lattice. After doping with amphoteric ion of Al2O3 in the CaMgSi2O6 glass-ceramic, it shows an increased resistance, which can be attributed to Al3+ occupies at silicon site to act as an acceptor, and hence induces the oxygen vacancies to compensate the free electron. Moreover, the Al3+ also occupies the magnesium site and acts as the donor to reduce the oxygen vacancies, which consequently increases quality factors of materials. The highly reduction-resistant diopside glass-ceramic with donor-acceptor complex is developed successfully and applied to the base-metal-electroded (BME) MLCC devices.
APA, Harvard, Vancouver, ISO, and other styles
29

Lo, Shen-Chuan, and 羅聖全. "Advanced Image-Spectrum Technique: The Investigation of Dielectric Property and Thermal Stability of Low-k Material in Cu Metallization." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/47224646887139034119.

Full text
Abstract:
博士
國立清華大學
工程與系統科學系
91
In this thesis, we developed an advanced microscopy technique:image-spectrum technique to study the dielectric property and thermal stability of Black DiamondTM dielectric materials for copper metallization. In my dissertation, I used newly developed signal processing methods to improve the capability of quantitative analysis of image spectrum. FFT interpolation and maximum entropy deconvolution were successfully used to solve the two problems:under-sampling and loss of energy resolution in image-spectrum technique, respectively. Based on novel signal-processing technique, the image-spectrum technique can extract two-dimensional property image from nanometer area of materials such as dielectric constant map and bang gap map. The novel Black DiamondTM a low-k material designed for copper metallization was used as a demo example. Since the analysis of the dielectric function is sensitive to the local thickness of the specimen using Kramers-Kronig analysis, we also developed a new method to quantitatively determine thickness of a wedge sample for low-k materials. We have determined the thickness of the Black DiamondTM low-k material using extrapolated thickness method from the materials of known dielectric constant. The experimentally determined dielectric constant of Black DiamondTM is 2.7±0.3 and very close to the reference value (2.5~2.8). The image-spectrum technique can extract basic materials properties from low-loss and high-loss electron energy region respectively such as band gap、dielectric function and bonding structure. This technique has been successfully extracted materials properties from low-loss electron energy region. However the application of this technique in high-loss region application has several difficulties such as:(1).energy drift (2).spatial( specimen ) drift (3).defocus of energy filtered image sand (4).recorded intensity stability must be overcome. Finally, the dielectric multiplayer was annealed at 250℃、350℃、450℃ respectively, in furnace vacuum with 8×10-6 torr for 1 hour to study the thermal effect on dielectric property of Black DiamondTM. The dielectric constant of Black DiamondTM increased as the annealing temperature increased. After annealed at 450℃, the dielectric constant of Black DiamondTM low-k material was increased from 2.7 to 3.5. We concluded that the bonding structure of the Black DiamondTM low-k material has been changed after thermal process and the dielectric property of Black DiamondTM shifted that of SiO2. The reason of dielectric constant of Black DiamondTM increased is the decreased of Si-CH3 bonding or C-H bonding broke during high temperature annealed. The development of new signal processing method:FFT interpolation and MEM deconvolution can rapidly and accurately extract two-dimensional property image such as dielectric constant map and band gap map. The new wedge dielectric constant measurement can measure the dielectric constant of dielectric materials in pattern sample. Advanced image-spectrum technique can combine with conventional EELS analysis, therefore the dielectric property and thermal stability of Black DiamondTM dielectric materials for copper metallization can be realized.
APA, Harvard, Vancouver, ISO, and other styles
30

Che, Mu-Lung, and 車牧龍. "Study on the structure-property relationship of porous low-k dielectrics based on novel hybrid and nano-clustering materials." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/06319238663891195851.

Full text
Abstract:
博士
國立交通大學
材料科學與工程學系
100
This work examines the structure-property relationship of porous low-k dielectrics such as novel MSQ/high-temperature porogen hybrid materials and nano-clustering materials, and explores their integration feasibility for future technology node. Specifically, the effect of porogen structure on the structure-property relationship in MSQ/porogen hybrid films and their corresponding porous films by using a post-integration porogen removal scheme is investigated. Poly(styrene-b-4-vinylpyridine) containing di-block structure and pyridine polar group possesses higher moisture uptake and k-value in the hybrid films as compared to poly(styrene-block-butadiene-block-styrene) with symmetrical structure and non-polar groups. Moreover, the moisture uptake behavior in both as-prepared hybrid films is in physical sorption mode based on their reversible adsorption-desorption curve measured by quartz-crystal-microbalance. After porogen removal, the k-values of porous films are favorably not influenced by porogen structures. The k-value decreases from 2.89 to 2.44 when a porosity of 40.1 vol% is introduced into a dense MSQ matrix. Furthermore, the moduli of the hybrid films were found to be higher than their porous forms, and even better than the dense MSQ film, for porogen loading below a critical level (~69.5 vol%). This could be attributed to their enhanced degree of crosslinking in MSQ as evidenced by the network/cage structural ratios. Besides, high-temperature porogen plays different roles during the crosslinking of MSQ depending on its loadings. In our study, with immediate loading at 16.7 vol%, PS-b-P4VP can serve as plasticizer to enhance the degree of crosslinking, but at a large loading >16.7 vol%, it becomes a steric hindrance reducing the degree of crosslinking. On the other hand, a methyltrimethoxysilane (MTMS) modified silica zeolite (MSZ) film was prepared using a high ratio of MTMS/tetraethyl orthosilicate (TEOS) to study the structure-property relationship. The study investigated the effect of MTMS addition on the low-k matrix structure, elastic modulus, and pore geometry. High MTMS loading reduced the k-value of MSZ film down to 2.0, but yielded a lower elastic modulus, 2.7 GPa. Based on grazing-incidence small-angle X-ray scattering (GISAXS) analysis, the pore geometry of the MSZ film was found to be small but elliptical (Rin-plane ~3.75 nm; Rout-of-plane ~3.04 nm). The elliptical pore shape was formed by a collapse of film structure at 150–160°C as a result of ~32% thickness shrinkage due to the decomposition of tetra-n-propylammonium hydroxide (TPAOH), a structure directing catalyst, and due to a large degree of crosslinking reaction in the silica matrix. Combining GISAXS, 29Si-NMR, and FT-IR results, we propose that the lower elastic modulus was caused by the incorporation of a large amount of methyl groups from the MTMS precursor and the elliptic pores.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography