To see the other types of publications on this topic, follow the link: Low-k dielectric materials.

Journal articles on the topic 'Low-k dielectric materials'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Low-k dielectric materials.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Shamiryan, D., T. Abell, F. Iacopi, and K. Maex. "Low-k dielectric materials." Materials Today 7, no. 1 (January 2004): 34–39. http://dx.doi.org/10.1016/s1369-7021(04)00053-7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ryan, E. Todd, Andrew J. McKerrow, Jihperng Leu, and Paul S. Ho. "Materials Issues and Characterization of Low-k Dielectric Materials." MRS Bulletin 22, no. 10 (October 1997): 49–54. http://dx.doi.org/10.1557/s0883769400034205.

Full text
Abstract:
Continuing improvement in device density and performance has significantly affected the dimensions and complexity of the wiring structure for on-chip interconnects. These enhancements have led to a reduction in the wiring pitch and an increase in the number of wiring levels to fulfill demands for density and performance improvements. As device dimensions shrink to less than 0.25 μm, the propagation delay, crosstalk noise, and power dissipation due to resistance-capacitance (RC) coupling become significant. Accordingly the interconnect delay now constitutes a major fraction of the total delay limiting the overall chip performance. Equally important is the processing complexity due to an increase in the number of wiring levels. This inevitably drives cost up by lowering the manufacturing yield due to an increase in defects and processing complexity.To address these problems, new materials for use as metal lines and interlayer dielectrics (ILDs) and alternative architectures have surfaced to replace the current Al(Cu)/SiO2 interconnect technology. These alternative architectures will require the introduction of low-dielectric-constant k materials as the interlayer dielectrics and/or low-resistivity conductors such as copper. The electrical and thermomechanical properties of SiO2 are ideal for ILD applications, and a change to material with different properties has important process-integration implications. To facilitate the choice of an alternative ILD, it is necessary to establish general criterion for evaluating thin-film properties of candidate low-k materials, which can be later correlated with process-integration problems.
APA, Harvard, Vancouver, ISO, and other styles
3

Sathyakam, P. Uma, and Partha S. Mallick. "Future Dielectric Materials for CNT Interconnects - Possibilities and Challenges." Journal of Nano Research 52 (May 2018): 21–42. http://dx.doi.org/10.4028/www.scientific.net/jnanor.52.21.

Full text
Abstract:
Carbon nanotube (CNT) interconnects are emerging as the ultimate choice for next generation ultra large scale integrated (ULSI) circuits. Significant progress in precise growth of aligned CNTs and integration of multiwalled CNT interconnects into a test chip make them promising candidates for future nanoelectronic chips. Tremendous research efforts were made on silicon based ultra-low-k dielectrics for Cu interconnects, but, the most recent advancements in polymer based composites as dielectric materials open up fresh challenges in the use of low-k dielectrics for CNT interconnects. This paper reviews the emerging polymer composites like Boron Nitride Nanotubes, Graphene/Polyimide composites, Metal Organic Frameworks and small diameter CNTs. Many reviews are already exists on the synthesis, fabrication, dielectric, mechanical, chemical and thermal properties of these materials. In this review, we have explained the specific properties of these materials and the necessities for integrating them into CNT interconnects to meet the requirements of future IC designers.Keywords: low-k dielectric materials, ultra low-k dielectrics, carbon nanotubes, interconnects, dielectric constant,
APA, Harvard, Vancouver, ISO, and other styles
4

Poloni, Roberta, and Jihan Kim. "Predicting low-k zeolite materials." J. Mater. Chem. C 2, no. 13 (2014): 2298–300. http://dx.doi.org/10.1039/c3tc32358g.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

He, Yan Gang, Jia Xi Wang, Xiao Wei Gan, Wei Juan Li, and Yu Ling Liu. "Effect of Colloidal Silica as Abrasive on Low-k Dielectric Materials in Chemical Mechanical Planarization." Advanced Materials Research 455-456 (January 2012): 1149–52. http://dx.doi.org/10.4028/www.scientific.net/amr.455-456.1149.

Full text
Abstract:
With low-k dielectric materials taking the place of oxide dielectrics as the primary dielectric materials, the low-k dielectric materials and interconnection Cu metals during Chemical Mechanical Planarization (CMP) is becoming a critical surface quality issue as well. In this study, experiments are carefully designed and conducted to investigate the effects of colloidal silica under compared acidic slurry and self-prepared alkaline slurry on k value of low-k dielectric materials, and in both of the slurry, colloidal silica (20~30nm) was used as polishing abrasive. The results showed that k value of low-k dielectric materials both increased within a similar range (self-prepared alkaline slurry, 3.27~3.33; commercial acidic slurry, 3.26~3.32), however, the results showed a obviously different result from reference’s report.
APA, Harvard, Vancouver, ISO, and other styles
6

Naik, Tejas R., Veena R. Naik, and Nisha P. Sarwade. "Novel Materials as Interlayer Low-K Dielectrics for CMOS Interconnect Applications." Applied Mechanics and Materials 110-116 (October 2011): 5380–83. http://dx.doi.org/10.4028/www.scientific.net/amm.110-116.5380.

Full text
Abstract:
Scaling down the integrated circuits has resulted in the arousal of number of problems like interaction between interconnect, crosstalk, time delay etc. These problems can be overcome by new designs and by use of corresponding novel materials, which may be a solution to these problems. In the present paper we try to put forward very recent development in the use of novel materials as interlayer dielectrics (ILDs) having low dielectric constant (k) for CMOS interconnects. The materials presented here are porous and hybrid organo-inorganic new generation interlayer dielectric materials possessing low dielectric constant and better processing properties.
APA, Harvard, Vancouver, ISO, and other styles
7

Huang, Maggie Y. M., Jeffrey C. K. Lam, Hao Tan, Tsu Hau Ng, Mohammed Khalid Bin Dawood, and Zhi Hong Mai. "UV-Raman Microscopy on the Analysis of Ultra-Low-K Dielectric Materials on Patterned Wafers." Advanced Materials Research 740 (August 2013): 680–89. http://dx.doi.org/10.4028/www.scientific.net/amr.740.680.

Full text
Abstract:
With the shrinkage of the IC device dimension, Cu and ultra-low-k dielectric were introduced into IC devices to reduce the RC delay. Ultra-low-k dielectrics generally suffer more damage than silicon oxide dielectric during process integration and subsequently cause reliability degradation. Therefore, ultra-low-k damage characterization on Cu damascene structures is of great importance to understand the damage mechanisms. This paper describes the application of UV-Raman microscopy with enhanced spatial resolution and signal sensitivity for characterizing ultra-low-k dielectric in the three-dimension structure of Cu metallization with nanometer feature size. It shows UV-Raman technique has an advantage in analyzing ultra-low-k layer on patterned wafer and extracting ultra-low-k signals from Cu/ultra-low-k mixed structure. UV-Raman is also effective to characterize the ultra-low-k degradation for ultra-low-k related reliability analysis by time dependent dielectric breakdown (TDDB) test.
APA, Harvard, Vancouver, ISO, and other styles
8

Lam, Jeffrey C. K., Maggie Y. M. Huang, Hao Tan, Zhiqiang Mo, Zhihong Mai, Choun Pei Wong, Handong Sun, and Zexiang Shen. "Vibrational spectroscopy of low-k/ultra-low-k dielectric materials on patterned wafers." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 29, no. 5 (September 2011): 051513. http://dx.doi.org/10.1116/1.3625099.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Ghule, B., and M. Laad. "Polymer Composites with Improved Dielectric Properties: A Review." Ukrainian Journal of Physics 66, no. 2 (March 4, 2021): 166. http://dx.doi.org/10.15407/ujpe66.2.166.

Full text
Abstract:
Materials exhibiting high dielectric constant (k) values find applications in capacitors, gate dielectrics, dielectric elastomers, energy storage device, while materials with low dielectric constant are required in electronic packaging and other such applications. Traditionally, high k value materials are associated with high dielectric losses, frequency-dependent dielectric behavior, and high loading of a filler. Materials with low k possess a low thermal conductivity. This creates the new challenges in the development of dielectric materials in both kinds of applications. Use of high dielectric constant filler materials increases the dielectric constant. In this study,the factors affecting the dielectric constant and the dielectric strength of polymer composites are explored. The present work aims to study the effect of various parameters affecting the dielectric properties of the materials. The factors selected in this study are the type of a polymer, type of a filler material used, size, shape, loading level and surface modification of a filler material, and method of preparation of the polymer composites. The study is focused on the dielectric enhancement of polymer nanocomposites used in the field of energy storage devices. The results show that the core-shell structured approach for high dielectric constant materials incorporated in a polymer matrix improves the dielectric constant of the polymer composite.
APA, Harvard, Vancouver, ISO, and other styles
10

Hong, Nianmin, Yinong Zhang, Quan Sun, Wenjie Fan, Menglu Li, Meng Xie, and Wenxin Fu. "The Evolution of Organosilicon Precursors for Low-k Interlayer Dielectric Fabrication Driven by Integration Challenges." Materials 14, no. 17 (August 25, 2021): 4827. http://dx.doi.org/10.3390/ma14174827.

Full text
Abstract:
Since the application of silicon materials in electronic devices in the 1950s, microprocessors are continuously getting smaller, faster, smarter, and larger in data storage capacity. One important factor that makes progress possible is decreasing the dielectric constant of the insulating layer within the integrated circuit (IC). Nevertheless, the evolution of interlayer dielectrics (ILDs) is not driven by a single factor. At first, the objective was to reduce the dielectric constant (k). Reduction of the dielectric constant of a material can be accomplished by selecting chemical bonds with low polarizability and introducing porosity. Moving from silicon dioxide, silsesquioxane-based materials, and silica-based materials to porous silica materials, the industry has been able to reduce the ILDs’ dielectric constant from 4.5 to as low as 1.5. However, porous ILDs are mechanically weak, thermally unstable, and poorly compatible with other materials, which gives them the tendency to absorb chemicals, moisture, etc. All these features create many challenges for the integration of IC during the dual-damascene process, with plasma-induced damage (PID) being the most devastating one. Since the discovery of porous materials, the industry has shifted its focus from decreasing ILDs’ dielectric constant to overcoming these integration challenges. More supplementary precursors (such as Si–C–Si structured compounds), deposition processes (such as NH3 plasma treatment), and post porosity plasma protection treatment (P4) were invented to solve integration-related challenges. Herein, we present the evolution of interlayer dielectric materials driven by the following three aspects, classification of dielectric materials, deposition methods, and key issues encountered and solved during the integration phase. We aim to provide a brief overview of the development of low-k dielectric materials over the past few decades.
APA, Harvard, Vancouver, ISO, and other styles
11

Hacker, Nigel P. "Organic and Inorganic Spin-On Polymers for Low-Dielectric-Constant Applications." MRS Bulletin 22, no. 10 (October 1997): 33–38. http://dx.doi.org/10.1557/s0883769400034175.

Full text
Abstract:
Low-dielectric-constant materials (k < 3.0) have the advantage of facilitating manufacture of higher performance integrated-circuit (IC) devices with minimal increases in chip size. The reduced capacitance given by these materials permits shrinkage of spacing between metal lines to below 0.25 μm and the ability to decrease the number of levels of metal in a device. The technologies being considered for low-k applications are chemical vapor deposition (CVD) or spin-on of polymeric materials. For both types of processes, there are methods and materials capable of giving k < 3.0 dielectric stacks. This article will focus on the spin-on approach and discuss the properties of both organic and inorganic spin-on polymers.While CVD SiO2 has been the mainstay of the industry, spin-on materials are appropriate for many dielectric applications. Polyimides have applications as electrical insulators, and traditional spin-on silicates or siloxanes (k > 3.0) have served as planarizing dielectrics during the last 15 years. The newer spin-on polymers have greatly enhanced mechanical, thermal, and chemical properties, exhibiting lower dielectric constants than the traditional materials.
APA, Harvard, Vancouver, ISO, and other styles
12

Nay, R. J., O. L. Warren, D. Yang, and T. J. Wyrobek. "Mechanical characterization of low-k dielectric materials using nanoindentation." Microelectronic Engineering 75, no. 1 (July 2004): 103–10. http://dx.doi.org/10.1016/j.mee.2004.01.043.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Hu, Chuan, Michael Morgen, Paul S. Ho, Anurag Jain, William N. Gill, Joel L. Plawsky, and Peter C. Wayner. "Thermal conductivity study of porous low-k dielectric materials." Applied Physics Letters 77, no. 1 (July 3, 2000): 145–47. http://dx.doi.org/10.1063/1.126904.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Modes, Christina, Stefan Malkmus, and Frieder Gora. "High K Low Loss Dielectrics Co-Fireable with LTCC." Active and Passive Electronic Components 25, no. 2 (2002): 141–45. http://dx.doi.org/10.1080/08827510212346.

Full text
Abstract:
Rapid growth in the application of LTCC technology for RF wireless is clearly driven by the trend of miniaturization and mobile communication systems. This technology provides the possibility of integration of passive components in a cost effective way. Heraeus has implemented compatible high permitivity and low loss dielectrics with NPO performance into modified Heraeus CT700 low temperature co-fired ceramic tape system. The majority of commercially available microwave dielectrics show increasing firing temperatures>200 °Cwhich make them incompatible with Ag metallizations or show high dielectric loss which limit their usage in RF wireless applications. This development work demonstrates the integration of a low loss, high permittivityε=60dielectric tape into a conventional Ag bearing LTCC structure. The concept of a dual sintering process is introduced and the resultant mechanical benefits with regard to tape fired shrinkage are explained in detail. Permittivity and dielectric loss data at RF for the new structure are presented. These high K and low loss dielectrics along with a comprehensive material system developed by Heraeus will support customers in meeting the challenges of reducing cost and enhancing the performance of RF devices for high frequency applications.
APA, Harvard, Vancouver, ISO, and other styles
15

Park, Jae-Hyung, Min-Soo Kang, Dong-Suk Han, Duck-Kyun Choi, and Jong-Wan Park. "Characterization of Mn-Based Self-Forming Barriers on Low-k Samples With or Without UV Curing Treatment." Journal of Nanoscience and Nanotechnology 15, no. 10 (October 1, 2015): 7493–97. http://dx.doi.org/10.1166/jnn.2015.11145.

Full text
Abstract:
In the present work, we report a Cu–Mn alloy as a material for the self-forming barrier process, and we investigated the diffusion barrier properties of the self-formed layer on low-k dielectrics with or without UV curing treatment. Cu alloy films with 3.8 at% Mn were directly deposited onto low-k dielectrics by co-sputtering followed by annealing at various temperatures. The self-formed layers were investigated by transmission electron microscopy (TEM) and energy-dispersive X-ray spectroscopy (EDS). In order to compare barrier properties between the Mn-based self-formed layer on low-k dielectric with UV curing and the interlayer on low-k dielectric without UV curing, thermal stability was measured at various thermal stress temperatures. Our results indicated that the formation of the barrier at the interface of Cu–Mn alloy/low-k dielectric was enhanced by UV curing due to changes in the porosity and C concentration in the dielectric layer.
APA, Harvard, Vancouver, ISO, and other styles
16

Endo, Kazuhiko. "Fluorinated Amorphous Carbon as a Low-Dielectric-Constant Interlayer Dielectric." MRS Bulletin 22, no. 10 (October 1997): 55–58. http://dx.doi.org/10.1557/s0883769400034217.

Full text
Abstract:
Low-k organic polymers such as polytetrafluoroethylene (PTFE) are promising materials for use as interlayer dielectrics (ILD) because their dielectric constants are generally lower than those of inorganic materials. However poor adhesion with Si substrates, poor thermal stability, and production difficulties have hindered their use in microelectronics.On the other hand, plasma-enhanced chemical vapor deposition (PECVD) of polymer films (plasma polymerization) has many advantages that help to overcome these problems. Plasma-enhanced chemical vapor deposition uses a glow discharge to create activated species such as radicals and ions from the original monomer, and the polymer films are deposited through various gas-phase and surface reactions of these active species, including ablation of the deposited film. No water is generated during plasma polymerization, and the influence of a solvent can be ignored. Also a layered structure that promotes adhesion can be easily fabricated by changing the source compounds.Recently the use of fluorinated amorphous carbon thin films (a-C:F) as new low-dielectric-constant interlayer dielectrics has been proposed. These thin films have an amorphous C–C cross-linked structure (including sp3 and sp2 bonded carbon) and have the same C–F bonds found in PTFE. The strong C–F bonds decrease the dielectric constant, and the C–C crosslinked structure maintains the film's thermal stability. The a-C:F film can be deposited from fluorocarbon source materials using PECVD. Typically fluorocarbons such as CF4, C2F6, C4F8, and their hydrogen mixtures are used as source materials. First the a-C:F films for low-k ILD, with a dielectric constant of 2.1, were deposited from CH4 + CF4 mixtures by using parallel-plate PECVD.
APA, Harvard, Vancouver, ISO, and other styles
17

Joubert, O., Nicolas Possémé, Thierry Chevolleau, Thibaut David, and M. Darnon. "Low-k Integration Using Metallic Hard Masks." Solid State Phenomena 187 (April 2012): 193–95. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.193.

Full text
Abstract:
For the 45 nm interconnect technology node, porous dielectric materials (p-SiOCH) have been introduced, leading to complex integration issues due to their high sensitivity upon FC etching and ashing plasma exposure [1, 2]. Thanks to Metallic hard mask (MHM) integration high selectivities towards dielectric materials (>100:1) can be reached and minimizes exposure of p-SiOCH films to ashing plasmas. However MHM such as TiN generates other issues such as i) metal contamination in the patterned structures and ii) growth of metal based residues on the top of the hard mask [3, 4, 5]. The residues growth, which is air exposure time dependent, directly impacts the yield performance with the generation of via and line opens [.
APA, Harvard, Vancouver, ISO, and other styles
18

Lu, D., R. Kumar, C. K. Chang, A. Y. Du, and T. K. S. Wong. "Analysis of surface contamination on organosilicate low k dielectric materials." Microelectronic Engineering 77, no. 1 (January 2005): 63–70. http://dx.doi.org/10.1016/j.mee.2004.08.006.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Fukuda, Takuya, Nobuo Aoi, and Hironori Matsunaga. "Novel Method of Estimating Dielectric Constant for Low-k Materials." Japanese Journal of Applied Physics 41, Part 2, No. 3B (March 15, 2002): L307—L310. http://dx.doi.org/10.1143/jjap.41.l307.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Jin, C., S. Lin, and J. T. Wetzel. "Evaluation of ultra-low-k dielectric materials for advanced interconnects." Journal of Electronic Materials 30, no. 4 (April 2001): 284–89. http://dx.doi.org/10.1007/s11664-001-0032-0.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Bennett, J., M. Quevedo-Lopez, and S. Satyanarayana. "Characterizing high-k and low-k dielectric materials for semiconductors: Progress and challenges." Applied Surface Science 252, no. 19 (July 2006): 7167–71. http://dx.doi.org/10.1016/j.apsusc.2006.02.087.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

You, Yong, Chenhao Zhan, Ling Tu, Yajie Wang, Weibin Hu, Renbo Wei, and Xiaobo Liu. "Polyarylene Ether Nitrile-Based High-k Composites for Dielectric Applications." International Journal of Polymer Science 2018 (July 10, 2018): 1–15. http://dx.doi.org/10.1155/2018/5161908.

Full text
Abstract:
Flexible polymer-based composites exhibiting high dielectric constant as well as low dielectric loss have been intensively investigated for their potential utilization in electronics and electricity industry and energy storage. Resulting from the polar -CN on the side chain, polyarylene ether nitrile (PEN) shows relatively high dielectric constant which has been extensively investigated as one of the hot spots as dielectric materials. However, the dielectric constant of PEN is still much lower than the ceramic dielectrics such as BaTiO3, TiO2, and Al2O3. In this review, recent and in-progress advancements in the designing and preparing strategies to obtain high-k PEN-based nanocomposites are summarized. According to the types of the added fillers, the effects of organic fillers, dielectric ceramic fillers, and conductive fillers on electric properties of PEN-based composites are investigated. In addition, other factors including the structures and sizes of the additive, the compatibility between the additive agent and the PEN, and the interface which affects the dielectric properties of the obtained composite materials are investigated. Finally, challenges facing in the design of more effective strategies for the high-k PEN-based dielectric materials are discussed.
APA, Harvard, Vancouver, ISO, and other styles
23

Cheng, Haung, Lee, Chen, and Fang. "Self-Assembled Monolayers on Highly Porous Low-k Dielectrics by 3-Aminopropyltrimethoxysilane Treatment." Coatings 9, no. 4 (April 11, 2019): 246. http://dx.doi.org/10.3390/coatings9040246.

Full text
Abstract:
Highly porous low-dielectric-constant (low-k) dielectric materials with a dielectric constant (k) less than 2.50 are needed for 32 nm and beyond technological nodes. In this study, a highly porous low-k dielectric film with a k value of 2.25, open porosity of 32.0%, and pore diameter of 1.15 nm were treated by 3-Aminopropyltrimethoxysilane (APTMS) in wet solution in order to form self-assembled monolayers (SAMs) onto it. The effects of the formation SAMs on the electrical characteristics and reliability of highly porous low-k dielectric films were characterized. As SAMs were formed onto the highly porous low-k dielectric film by APTMS treatment, the dielectric breakdown field and the failure time were significantly improved, but at the expense of the increases in the dielectric constant and leakage current. Moreover, the formation SAMs enhanced the Cu barrier performance for highly porous low-k dielectric films. Therefore, the SAMs derived from APTMS treatment are promising for highly porous low-k dielectric films to ensure better integrity.
APA, Harvard, Vancouver, ISO, and other styles
24

Tan, Vincent B. C., L. Dai, S. W. Yang, X. T. Chen, and P. Wu. "Ab Initio Simulations of Low-K and Ultra Low-K Dielectric Interconnects." Solid State Phenomena 121-123 (March 2007): 1061–64. http://dx.doi.org/10.4028/www.scientific.net/ssp.121-123.1061.

Full text
Abstract:
Ab initio molecular dynamics simulations were carried out to study low-k/ultra low-k dielectric systems comprising Cu/Ta/SiLK-like polymer. A study of the motion of single metal atoms of Cu and Ta in the SiLK-like polymer showed that Cu atom motions are effected by jumps between cavities inside the polymer and that Ta is more sluggish than Cu not only because of its larger mass but also because of stronger affinity to the polymer. It was also found that crosslinking of the polymer did not affect the motion of the metal atoms. Simulations of deposition showed that a thin Ta diffusion barrier does not have good structural integrity to prevent Cu-diffusion when directly deposited onto the SiLK; the barrier performance was greatly improved after introducing a Si-based film between the Ta and SiLK.
APA, Harvard, Vancouver, ISO, and other styles
25

Tawade, Bhausaheb V., Ikeoluwa E. Apata, Nihar Pradhan, Alamgir Karim, and Dharmaraj Raghavan. "Recent Advances in the Synthesis of Polymer-Grafted Low-K and High-K Nanoparticles for Dielectric and Electronic Applications." Molecules 26, no. 10 (May 15, 2021): 2942. http://dx.doi.org/10.3390/molecules26102942.

Full text
Abstract:
The synthesis of polymer-grafted nanoparticles (PGNPs) or hairy nanoparticles (HNPs) by tethering of polymer chains to the surface of nanoparticles is an important technique to obtain nanostructured hybrid materials that have been widely used in the formulation of advanced polymer nanocomposites. Ceramic-based polymer nanocomposites integrate key attributes of polymer and ceramic nanomaterial to improve the dielectric properties such as breakdown strength, energy density and dielectric loss. This review describes the “grafting from” and “grafting to” approaches commonly adopted to graft polymer chains on NPs pertaining to nano-dielectrics. The article also covers various surface initiated controlled radical polymerization techniques, along with templated approaches for grafting of polymer chains onto SiO2, TiO2, BaTiO3, and Al2O3 nanomaterials. As a look towards applications, an outlook on high-performance polymer nanocomposite capacitors for the design of high energy density pulsed power thin-film capacitors is also presented.
APA, Harvard, Vancouver, ISO, and other styles
26

Wang, Z., H. Wang, A. Mitra, L. Huang, and Y. Yan. "Pure-Silica Zeolite Low-k Dielectric Thin Films." Advanced Materials 13, no. 10 (May 2001): 746–49. http://dx.doi.org/10.1002/1521-4095(200105)13:10<746::aid-adma746>3.0.co;2-j.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Lee, Chih-Yen, Chi-Yang Yan, and Yi-Lung Cheng. "In-Situ Repair Plasma-Induced Damage and Cap Dielectric Barrier for Porous Low-Dielectric-Constant Materials by HMDS Plasma Treatment." Coatings 11, no. 3 (March 9, 2021): 314. http://dx.doi.org/10.3390/coatings11030314.

Full text
Abstract:
Plasma damage and metal ion penetration are critical issues for porous low-dielectric-constant (low-k) materials used in the back-end-of-line interconnects. This study proposed a novel process with in-situ repairing plasma-induced damage and capping a barrier for porous low-k materials by Hexamethyldisilazane (HDMS) plasma treatment. For a plasma-damaged porous low-k material, its surface hydrophilic state was transformed to hydrophobic state by HDMS plasma treatment, revealing that damage was repaired. Simultaneously, a dielectric film was capped onto the porous low-k material, and displayed better barrier capability against Cu migration. Additionally, the breakdown reliability of the stacked dielectric was enhanced by the means of HDMS plasma treatment. The optimized HDMS plasma treatment time was found to be 10 s. Therefore, this proposed HDMS plasma treatment processing is a promising technique for highly applicable low-k material used for advanced technology nodes.
APA, Harvard, Vancouver, ISO, and other styles
28

Mobarak, Youssef Ahmed, and Moamen Atef. "Effect of Novel Nanocomposite Materials for Enhancing Performance of Thin Film Transistor TFT Model." International Journal of Advances in Applied Sciences 5, no. 1 (March 1, 2016): 1. http://dx.doi.org/10.11591/ijaas.v5.i1.pp1-12.

Full text
Abstract:
<span>The potential impact of high permittivity gate dielectrics on thin film transistors short channel and circuit performance has been studied using <a name="OLE_LINK110"></a><a name="OLE_LINK118"></a>highly accurate analytical models. In addition, the gate-to-channel capacitance and parasitic fringe capacitances have been extracted. The suggested model in this paper has been <a name="OLE_LINK37"></a><a name="OLE_LINK36"></a>increased the surface potential and decreased the <a name="OLE_LINK93"></a><a name="OLE_LINK92"></a>threshold voltage, whenever the conventional silicon dioxide gate dielectric<a name="OLE_LINK290"></a><a name="OLE_LINK280"></a> is replaced by high-K gate dielectric novel nanocomposite PVP/La<sub>2</sub>O<sub>3</sub>K<sub>ox</sub>=25. Also, it has been investigated that a decrease in parasitic outer fringe capacitance and gate-to-channel capacitance, whenever the conventional silicon nitride is replaced by low-K gate sidewall spacer dielectric novel nanocomposite PTFE/SiO<sub>2</sub>K<sub>sp</sub>=2.9. Finally, it has been demonstrated that using low-K gate sidewalls with high-K gate insulators can be decreased the gate fringing field and threshold voltage. In addition, fabrication of nanocomposites from polymers and nano-oxide particles found to have potential candidates for using it in a wide range of applications in low cost due to low process temperature of these nanocomposites materials.</span>
APA, Harvard, Vancouver, ISO, and other styles
29

List, R. Scott, Abha Singh, Andrew Ralston, and Girish Dixit. "Integration of Low-k Dielectric Materials Into Sub-0.25-μm Interconnects." MRS Bulletin 22, no. 10 (October 1997): 61–69. http://dx.doi.org/10.1557/s0883769400034229.

Full text
Abstract:
As the dimensions of ultralarge-scale-integration devices scale to smaller feature sizes and larger die dimensions, the resistance-capacitance (RC) delay of the metal interconnect will increasingly limit the performance of high-speed logic chips. This is because the transistor capacitance and resistance both scale to lower values as the feature size is reduced, while both the line-to-line capacitance and resistance of the metal-interconnect lines increase as their dimensions decrease. For interconnects 5-mm long, the crossover feature size at which the interconnect delay dominates the transistor delay is approximately 0.5 μm. Since this interconnect RC delay increases roughly quadratically with decreasing feature size versus the historical quadratic reduction in transistor delay, device designers currently face difficult barriers to continued performance increases with scaling. Figure 1 presents the components of the RC delay for a single-transistor/single-interconnect combination with 0.35-μm feature sizes. The total delay can be broken into four additive components: the transistor delay R0C0, the interconnect delay rLcL, and the two transistor/interconnect cross terms where R0 and C0 are the transistor resistance and capacitance, r and c are the specific resistance and capacitance, and L is the interconnect length. As can be seen for interconnect lengths less than about 100-μm long, the intrinsic transistor delay dominates. However for interconnect lengths between approximately 100 μm and 10 mm, the resistance of the transistor coupled with the capacitance of the interconnect dominates the combined delay, resulting in a linear increase in delay with increasing line length.
APA, Harvard, Vancouver, ISO, and other styles
30

Cheng, Y. L., Y. L. Wang, Yungder Juang, M. L. O’Neill, A. S. Lukas, E. J. Karwacki, S. A. McGuian, Allen Tang, and C. L. Wu. "Organofluorosilicate glass: A dense low-k dielectric with superior materials properties." Journal of Physics and Chemistry of Solids 69, no. 2-3 (February 2008): 518–22. http://dx.doi.org/10.1016/j.jpcs.2007.11.019.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Li, Zijian, Mark C. Johnson, Minwei Sun, E. Todd Ryan, David J. Earl, Wolfgang Maichen, Jeremy I. Martin, et al. "Mechanical and Dielectric Properties of Pure-Silica-Zeolite Low-k Materials." Angewandte Chemie International Edition 45, no. 38 (September 25, 2006): 6329–32. http://dx.doi.org/10.1002/anie.200602036.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Li, Zijian, Mark C. Johnson, Minwei Sun, E. Todd Ryan, David J. Earl, Wolfgang Maichen, Jeremy I. Martin, et al. "Mechanical and Dielectric Properties of Pure-Silica-Zeolite Low-k Materials." Angewandte Chemie 118, no. 38 (September 25, 2006): 6477–80. http://dx.doi.org/10.1002/ange.200602036.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Van Elshocht, Sven, Annelies Delabie, Sven Dewilde, Johan Meersschaut, Johan Swerts, Hilde Tielens, Patrick Verdonck, Thomas Witters, and Eric Vancoille. "ALD Barrier Deposition on Porous Low-k Dielectric Materials for Interconnects." ECS Transactions 41, no. 2 (December 16, 2019): 25–32. http://dx.doi.org/10.1149/1.3633651.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Mowat, Ian A., Xue-Feng Lin, Thomas Fister, Marius Kendall, Gordon Chao, and Ming Hong Yang. "A study of dynamic SIMS analysis of low-k dielectric materials." Applied Surface Science 252, no. 19 (July 2006): 7182–85. http://dx.doi.org/10.1016/j.apsusc.2006.02.222.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Sikder, A. K., I. M. Irfan, Ashok Kumar, and J. M. Anthony. "Nano-indentation studies of xerogel and SiLK low-K dielectric materials." Journal of Electronic Materials 30, no. 12 (December 2001): 1527–31. http://dx.doi.org/10.1007/s11664-001-0169-x.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Zulkifeli, M. A., S. N. Sabki, S. Taking, N. A. Azmi, and S. S. Jamuar. "The Effect of Different Dielectric Materials in Designing High-Performance Metal-Insulator-Metal (MIM) Capacitors." International Journal of Electrical and Computer Engineering (IJECE) 7, no. 3 (June 1, 2017): 1554. http://dx.doi.org/10.11591/ijece.v7i3.pp1554-1561.

Full text
Abstract:
<p>A Metal-Insulator-Metal (MIM) capacitor with high capacitance, high breakdown voltage, and low leakage current is aspired so that the device can be applied in many electronic applications. The most significant factors that affect the MIM capacitor’s performance is the design and the dielectric materials used. In this study, MIM capacitors are simulated using different dielectric materials and different number of dielectric layers from two layers up to seven layers. The effect of the different dielectric constants (<em>k</em>) to the performance of the MIM capacitors is also studied, whereas this work investigates the effect of using low-<em>k</em> and high-<em>k</em> dielectric materials. The dielectric materials used in this study with high-<em>k</em> are Al<sub>2</sub>O<sub>3</sub> and HfO<sub>2</sub>, while the low-<em>k</em> dielectric materials are SiO<sub>2</sub> and Si<sub>3</sub>N<sub>4</sub>. The results demonstrate that the dielectric materials with high-<em>k</em> produce the highest capacitance. Results also show that metal-Al<sub>2</sub>O<sub>3</sub> interfaces increase the performance of the MIM capacitors. By increasing the number of dielectric layers to seven stacks, the capacitance and breakdown voltage reach its highest value at 0.39 nF and 240 V, respectively.</p>
APA, Harvard, Vancouver, ISO, and other styles
37

Tak, Hyun Woo, Jun Ki Jang, Dain Sung, Doo San Kim, Dong Woo Kim, and Geun Young Yeom. "Etch characteristics of nanoscale ultra low-k dielectric using C3H2F6." Materials Express 10, no. 6 (June 1, 2020): 834–40. http://dx.doi.org/10.1166/mex.2020.1777.

Full text
Abstract:
Next generation semiconductor devices require ultra low dielectric constant (ULK) materials such as porous SiCOH on the back end of line structure for lower resistance and capacitance (RC) time delay, however, these ULK materials are easily damaged by the exposure to plasmas during the etching. In this study, etch characteristics of nanoscale TiN masked porous SiCOH such as etch rate, etch profile, surface damage, etc. and plasma characteristics by using C3H2F6 based gases have been investigated with a dual-frequency capacitively coupled plasma system (DF-CCP) and the results were compared with those by using conventional C4F8 based gases used for low-k dielectric etching. The results showed that, for the similar etch rates and etch profiles of porous SiCOH, lower sidewall damage was observed for the etching with the C3H2F6 compared to the C4F8. The analysis showed that it was related to less UV (less than 400 nm) emission and less fluorine radicals in the plasma for C3 H2F6 compared to C4F8, which leads to less fluorine diffusion to the sidewall surface of the etched porous SiCOH by the fluorine scavenging by hydrogen in C3H2F6.
APA, Harvard, Vancouver, ISO, and other styles
38

Padovani, Agnes M., Larry Rhodes, Laura Riester, Gregory Lohman, Barbara Tsuie, James Conner, Sue Ann Bidstrup Allen, and Paul A. Kohl. "Porous Methylsilsesquioxane for Low-k Dielectric Applications." Electrochemical and Solid-State Letters 4, no. 11 (2001): F25. http://dx.doi.org/10.1149/1.1403215.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Choi, Bum-Gyu, Byung Ro Kim, Myung-Sun Moon, Jung-Won Kang, and Min-Jin Ko. "New Carbon-bridged Hybrid Polymers for Low-k Materials." MRS Proceedings 863 (2005). http://dx.doi.org/10.1557/proc-863-b8.10.

Full text
Abstract:
AbstractReducing interline capacitance and line resistance is required to minimize RC delays, reduce power consumption and crosstalk below 100nm node technology. For this purpose, various inorganic- and organic polymers have been tested to reduce dielectric constants in parallel with the use of copper as metal line. Lowering the dielectric constants, in particular, causes the detrimental effect on mechanical properties, and then leads to film damage and/or delamination during chemical-mechanical planarization CMP) or repeated thermal cure cycles. To overcome this issue, new carbon-bridged hybrid materials synthesized by organometallic silane precursors and sol-gel reaction are proposed.In this work, we have developed new organic-inorganic hybrid low-k dielectrics with linear or cyclic carbon bridged structures. The differently bridged carbon structures were formed by a controlled reaction. 1H NMR, 29Si NMR analysis and GC/MSD analysis were conducted for the structural characterization of new hybrid low-k dielectric. The mechanical and dielectric properties of these hybrid materials were characterized by using nanoindentation with continuous stiffness measurement and Al dot MIS techniques. The results indicated that these organic-inorganic hybrid materials were very promising polymers for low-k dielectrics that had low dielectric constants with high thermal and mechanical properties. It has been also demonstrated that electrical and mechanical properties of the hybrid films could be tailored by copolymerization with PMSSQ and through the introduction of porogen.
APA, Harvard, Vancouver, ISO, and other styles
40

Grove, N. R., P. A. Kohl, S. A. Bidstrup-Allen, R. A. Shick, B. L. Goodall, and S. Jayaraman. "Polynorbornene for Low K Interconnection." MRS Proceedings 476 (1997). http://dx.doi.org/10.1557/proc-476-3.

Full text
Abstract:
AbstractWithin the microelectronics industry, there is an ongoing trend toward miniaturization coupled with higher performance. The scaling of transitors toward smaller dimensions, higher speeds, and lower power has resulted in an urgent need for low dielectric constant interlevel insulators. Low dielectric constant interlevel dielectrics have already been identified as being critical to the realization of high performance integrated circuits in the SLA Roadmap. Thus, there exists a need in the microelectronics industry for a thermally stable, noncorrosive low dielectric constant polymer with good solvent resistance, high glass transition temperature, good mechanical performance and good adhesive properties, particularly to copper. In addition, the desired dielectric material should be capable of being processed in environmentally friendly solvents, and the final thermal and electrical performance should not be affected by manufacturing or post environmental conditions. High glass transition temperature polynorbornenes are being developed which provide many of these desired features. This polymer family is produced via a new transition metal catalyzed polymerization. Attributes which make polynorbornene particularly attractive in microelectronics include: (i) excellent thermal performance, (ii) adhesion to conductors without the use of adhesion promoters or barrier layers, (iii) very low moisture absorption (< 0.1 wt %), and (iv) low dielectric constant (2.2 – 2.6). Side groups which have been added to the polynorbornene backbone improve adhesion, dielectric properties and mechanical properties.
APA, Harvard, Vancouver, ISO, and other styles
41

Huang, Huai, Junjing Bao, Huai Huang, Junjun Liu, Ryan Scott Smith, Yangming Sun, Paul S. Ho, Michael L. McSwiney, Mansour Moinpour, and Grant M. Kloster. "Dielectric Recovery of Plasma Damaged Organosilicate Low-k Films." MRS Proceedings 1079 (2008). http://dx.doi.org/10.1557/proc-1079-n02-10.

Full text
Abstract:
ABSTRACTMethyl depletion and subsequent moisture uptake have been found to be the primary plasma damages leading to dielectric loss in porous organosilicate (OSG) low-k dielectrics. A vacuum vapor silylation process was developed for dielectric recovery of plasma damaged OSG low-k dielectrics. The methyl or phenyl containing silylation agents were used to convert the hydrophilic -OH groups to hydrophobic groups. Compared with Trimethylchlorosilane (TMCS) and Phenyltrimethoxysilane (PTMOS), Dimethyldichlorosilane (DMDCS) was found to be more effective in recovering surface carbon concentration and surface hydrophobicity. But the carbon recovery effect was limited to the surface region.Alternatively, UV radiation with thermal activation was applied for dielectric recovery of plasma damaged OSG low-k dielectrics. The combined UV/thermal process was found to be efficient in reducing −OH, physisorbed water, and C=O bonds. The dielectric constant was recovered within 5% of the pristine sample and the leakage current was also much reduced. Aging test in air showed that no moisture retake was observed, indicating the repaired film was stable.
APA, Harvard, Vancouver, ISO, and other styles
42

Liu, J., W. D. Wang, L. Wang, D. Z. Chi, and K. P. Loh. "Evaluation of PECVD deposited Boron Nitride as Copper Diffusion Barrier on Porous Low-k Materials." MRS Proceedings 812 (2004). http://dx.doi.org/10.1557/proc-812-f2.9.

Full text
Abstract:
AbstractUltra low dielectric constant (κ) material is needed as the inter-metal dielectrics to reduce RC delay when device dimension is scaled to sub-100nm. Porous dielectric films have been considered as good candidates for the application as inter-metal dielectrics due to their ultra low-k properties. Identifying proper dielectric copper diffusion barrier on the porous low-k films is critical for the low-k/Cu damascene fabrication process. In this study, we have evaluated the compatibility of plasma-deposited amorphous Boron Nitride film as a dielectrics copper diffusion barrier on a MSQ-based porous low-k LKD5109 film (from JSR). Both microwave plasma enhanced CVD (2.45 GHz) and radio-frequency plasma enhanced CVD (13.56 MHz) were applied for the BN deposition in order to evaluate the compatibility of the two plasma processes with the porous film. Growth parameters were optimized to minimize the boron diffusion and carbon depletion in the porous low-k films, which were found to have deleterious effects on the dielectric properties of the low-k films. FTIR and micro-Raman were employed for analyzing the changes in chemical structure of the low-k films after BN growth. Capacitance-voltage measurement was used to characterize the dielectric constants of BN film on Si and the BN-deposited porous low-k film. SIMS characterization was carried out to evaluate the performance of the BN film against copper diffusion.
APA, Harvard, Vancouver, ISO, and other styles
43

Carter, K. R. "Recent Advances in Low K Polymeric Materials." MRS Proceedings 476 (1997). http://dx.doi.org/10.1557/proc-476-87.

Full text
Abstract:
AbstractAs microelectronic device dimensions decrease and functionality density increases, a change in interconnect materials, both conductors and insulators must change from currently used materials. To this end, we are actively in search of low dielectric constant materials that can be integrated into integrated circuit production. The greatest limiting factor in materials qualification are the stringent IC processing conditions (thermal stability, resistance to chemical/mechanical treatments). Current specifications for back-end-of-the-line (BEOL) thin film insulators call for materials with dielectric constants of 3.0–3.5 and turn of the century CMOS devices may require materials with dielectric constants approaching 2.0. While there are a number of possible candidates for current uses, the list of usable materials with dielectric constants <3.0 is very limited. Future low K candidates being examined include fluorinated polyimides and porous materials.
APA, Harvard, Vancouver, ISO, and other styles
44

Grill, A., V. Patel, K. L. Saenger, C. Jahnes, S. A. Cohen, A. G. Schrott, D. C. Edelstein, and J. R. Paraszczak. "Diamondlike Carbon Materials as Low-k Dielectrics for Multilevel Interconnects in Ulsi." MRS Proceedings 443 (1996). http://dx.doi.org/10.1557/proc-443-155.

Full text
Abstract:
AbstractA variety of diamondlike carbon (DLC) materials were investigated for their potential applications as low-k dielectrics for the back end of the line (BEOL) interconnect structures in ULSI circuits. Hydrogenated DLC and fluorine containing DLC (FDLC) were studied as a low-k interlevel and intralevel dielectrics (ILD), while silicon containing DLC (SiDLC) was studied as a potential low-k etch stop material between adjacent DLC based ILD layers, which can be patterned by oxygen-based plasma etchingIt was found that the dielectric constant (k) of the DLC films can be varied between >3.3 and 2.7 by changing the deposition conditions. The thermal stability of these DLC films was found to be correlated to the values of the dielectric constant, decreasing with decreasing k. While DLC films having dielectric constants k>3.3 appeared to be stable to anneals of 4 hours at 400 °C in He, a film having a dielectric constant of 2.7 was not, losing more than half of its thickness upon exposure to the same anneal. The stresses in the DLC films were found to decrease with decreasing dielectric constant, from 700 MPa to about 250 MPa. FDLC films characterized by a dielectric constant of about 2.8 were found to have similar thermal stability as DLC films with k >3.3. The thermally stable FDLC films have internal stresses <300 MPa and are thus promising candidates as a low-k ILD.For the range of Si contents examined (0-9% C replacement by Si), SiDLC films with a Si content of around 5% appear to provide an effective etch-stop for oxygen RIE of DLC or FDLC films, while retaining desirable electrical characteristics. These films showed a steady state DLC/SiDLC etch rate ratio of about 17, and a dielectric constant only about 30% higher than the 3.3 of DLC.
APA, Harvard, Vancouver, ISO, and other styles
45

McGahay, Vincent. "Some Aspects of the Materials Science of Low-K Integration." MRS Proceedings 766 (2003). http://dx.doi.org/10.1557/proc-766-e6.1.

Full text
Abstract:
AbstractThe microelectronic industry's transition to low dielectric constant insulators in the wiring levels of integrated circuits has proven to be more difficult than expected. Materials properties are an integral part of the problem, as much for yield as for reliability. Unfortunately, many properties which are important for manufacturing robustness tend to degrade as the dielectric constant is lowered. Although materials properties are a useful guide to low-K manufacturability, inflexibility with regard to specifications could ultimately limit future progress. Application of basic principles of materials science to the integration of low-K dielectrics can give critical insight into the nature of the difficulties. Several examples of problems in low-K integration which benefit from such analysis are given.
APA, Harvard, Vancouver, ISO, and other styles
46

Kang, Jung-Won, Byung Ro Kim, Gwi-Gwon Kang, Myung-Sun Moon, Bum-Gyu Choi, and Min-Jin Ko. "New hybrid low-k dielectric materials prepared by vinylsilane polymerization." MRS Proceedings 812 (2004). http://dx.doi.org/10.1557/proc-812-f3.6.

Full text
Abstract:
AbstractSpin-on Low-K materials are potentially very attractive as interconnection materials in a wide range of semiconductor structures. In this work, new organic-inorganic hybrid materials synthesized by vinylsilane polymerization were proposed. According to compositions and additional fabrications, dielectric constants of these materials were evaluated to be 2.3∼3.1. The hardness was 2.0GPa after 430°C curing. These materials had good adhesion strength such that fracture toughness on silicon wafer was 0.22 MPam0.5 without any adhesion promoters. This result indicates that these organicinorganic hybrid materials are very promising candidates for low-K dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
47

Nelson, Alshakim, Jitendra S. Rathore, Blake Davis, Phillip Brock, Ratnam Sooriyakumaran, Robert Miller, and Qinghuang Lin. "Silsesquioxane-based Photopatternable Porous Low-k Dielectric Materials." MRS Proceedings 1249 (2010). http://dx.doi.org/10.1557/proc-1249-f06-02.

Full text
Abstract:
AbstractThe future resolution requirements for the semiconductor industry demand advanced lithographic techniques, such as immersion and extreme ultraviolet (EUV) technologies, which will increase the cost of microelectronics manufacturing. Currently, low-k dielectric materials, which are used as insulating layers between the copper wiring, are indirectly patterned using a set of sacrificial layers and etch processes. The sacrificial layers include a photoresist polymer that must first be imaged prior to transferring the pattern to the underlying layers, including the dielectric layer. In order to reduce the number of processing steps required for semiconductor manufacturing, we have developed a novel photo-patternable low-k dielectric material that (1) eliminates the need for sacrificial layers and (2) reduces the number of wafer processing steps. Silsesquioxane copolymers that undergo acid-catalyzed crosslinking when exposed to 193nm wavelength were synthesized. In addition to the direct photo-patternability, the patterned structures are suitable as a dielectric material with a dielectric constant as low as 2.4, and an appreciable elastic modulus (E > 4.0 GPa). These photo-patternable low-k materials represent a ‘greener' approach to semiconductor manufacturing which has the ability to reduce cost, waste materials, and energy consumption.
APA, Harvard, Vancouver, ISO, and other styles
48

DelaRosa, M., A. Kumar, H. Bakhru, and T. M. Lu. "Diffusion Barriers for Fluorinated Low-k Dielectrics." MRS Proceedings 564 (1999). http://dx.doi.org/10.1557/proc-564-559.

Full text
Abstract:
AbstractThe fluorinated low-k dielectrics SiO:F and Teflon AF were investigated for process integration with aluminum and copper interconnects. To minimize fluorine diffusion, several potential barrier materials were deposited onto the fluorinated dielectrics and characterized after heat treatment at temperatures up to 450°C. The barrier layers studied include conventional materials such as Ta, TaN, and TiN, in addition to several novel materials. Barrier layer materials were deposited using evaporation, and sputtering. The materials were characterized using nuclear reaction analysis (NRA) to determine the fluorine concentration profile. A reaction zone was noted at the dielectric-barrier interface on several samples, corresponding to the formation of a fluoride complex. hI some instances, this fluoride layer was self-limiting and prevented further fluorine diffusion through the remainder of the barrier layer.
APA, Harvard, Vancouver, ISO, and other styles
49

DelaRosa, M., A. Kumar, H. Bakhru, and T. M. Lu. "Diffusion Barriers For Fluorinated Low-k Dielectrics." MRS Proceedings 565 (1999). http://dx.doi.org/10.1557/proc-565-197.

Full text
Abstract:
AbstractThe fluorinated low-k dielectrics SiO:F and Teflon AF were investigated for process integration with aluminum and copper interconnects. To minimize fluorine diffusion, several potential barrier materials were deposited onto the fluorinated dielectrics and characterized after heat treatment at temperatures up to 450°C. The barrier layers studied include conventional materials such as Ta, TaN, and TiN, in addition to several novel materials. Barrier layer materials were deposited using evaporation, and sputtering. The materials were characterized using nuclear reaction analysis (NRA) to determine the fluorine concentration profile. A reaction zone was noted at the dielectric-barrier interface on several samples, corresponding to the formation of a fluoride complex. In some instances, this fluoride layer was self-limiting and prevented further fluorine diffusion through the remainder of the barrier layer.
APA, Harvard, Vancouver, ISO, and other styles
50

Morgen, Michael, Jie-Hua Zhao, Michael Hay, Taiheui Cho, and Paul S. Ho. "Structure-Property Correlation in Low K Dielectric Materials." MRS Proceedings 565 (1999). http://dx.doi.org/10.1557/proc-565-69.

Full text
Abstract:
AbstractIn recent years there have been widespread efforts to identify low dielectric constant materials that can satisfy a number of diverse performance requirements necessary for successful integration into IC devices. This has led to extensive efforts to develop low k materials and the associated process integration. A particularly difficult challenge for material development has been to find the combination of low dielectric constant and good thermal and mechanical stability. In this paper recent characterization results for low k materials performed at the University of Texas will be reviewed, with an emphasis on the relationship of chemical structure to the aforementioned key material properties. For example, measurements showing the effect of film porosity on dielectric constant and thermal and mechanical properties is presented. This data, as well as that for other material types, demonstrates the tradeoffs between dielectric constant and thermomechanical properties that are often made during the course of material development.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography