Dissertations / Theses on the topic 'Microprocessors'
Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles
Consult the top 50 dissertations / theses for your research on the topic 'Microprocessors.'
Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.
You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.
Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.
Taylor, Michael Bedford 1975. "Tiled microprocessors." Thesis, Massachusetts Institute of Technology, 2007. http://hdl.handle.net/1721.1/38924.
Full textIncludes bibliographical references (p. 251-258).
Current-day microprocessors have reached the point of diminishing returns due to inherent scalability limitations. This thesis examines the tiled microprocessor, a class of microprocessor which is physically scalable but inherits many of the desirable properties of conventional microprocessors. Tiled microprocessors are composed of an array of replicated tiles connected by a special class of network, the Scalar Operand Network (SON), which is optimized for low-latency, low-occupancy communication between remote ALUs on different tiles. Tiled microprocessors can be constructed to scale to 100's or 1000's of functional units. This thesis identifies seven key criteria for achieving physical scalability in tiled microprocessors. It employs an archetypal tiled microprocessor to examine the challenges in achieving these criteria and to explore the properties of Scalar Operand Networks. The thesis develops the field of SONs in three major ways: it introduces the 5-tuple performance metric, it describes a complete, high-frequency <0,0,1,2,0> SON implementation, and it proposes a taxonomy, called AsTrO, for categorizing them.
(cont.) To develop these ideas, the thesis details the design, implementation and analysis of a tiled microprocessor prototype, the Raw Microprocessor, which was implemented at MIT in 180 nm technology. Overall, compared to Raw, recent commercial processors with half the transistors required 30x as many lines of code, occupied 100x as many designers, contained 50x as many pre-tapeout bugs, and resulted in 33x as many post-tapeout bugs. At the same time, the Raw microprocessor proves to be more versatile in exploiting ILP, stream, and server-farm workloads with modest to large amounts of parallelism.
by Michael Bedford Taylor.
Ph.D.
Siers, Scott. "Design and implementation of an asynchronous version of the MIPS R3000 microprocessor /." Online version of thesis, 1993. http://hdl.handle.net/1850/11562.
Full textHadi, Muntasir J. "Design of a real-time multi-channel microprocessor based data acquisition and control system." Ohio : Ohio University, 1988. http://www.ohiolink.edu/etd/view.cgi?ohiou1182787292.
Full textSheth, Khushbooben Agrawal Vishwani D. "A hardware-software processor architecture using pipeline stalls for leakage power management." Auburn, Ala, 2009. http://hdl.handle.net/10415/1590.
Full textMivehchi, M. H. "Microprocessors applications to telecommunications." Thesis, Bucks New University, 1986. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.375601.
Full textFournier, Jacques Jean-Alain Michael. "Vector microprocessors for cryptography." Thesis, University of Cambridge, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.613318.
Full textДядечко, Алла Миколаївна, Алла Николаевна Дядечко, Alla Mykolaivna Diadechko, and D. Mulin. "The history of microprocessors." Thesis, Вид-во СумДУ, 2009. http://essuir.sumdu.edu.ua/handle/123456789/16862.
Full textJohnson, Kevin. "Design and implementation of an asynchronous version of the MIPS R3000 microprocessor /." Online version of thesis, 1994. http://hdl.handle.net/1850/11171.
Full textPobbathi, Venkatesh Paneesh Kumar. "Randomization Based Verification for Microprocessors." Thesis, KTH, Skolan för informations- och kommunikationsteknik (ICT), 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-177438.
Full textBalfour, J. "Source level debugging for microprocessors." Thesis, Lancaster University, 1986. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.379582.
Full textFox, A. C. J. "Algebraic models for advanced microprocessors." Thesis, Swansea University, 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.637000.
Full textBhagwati, Vishal Lalit. "Automatic verification of pipelined microprocessors." Thesis, Massachusetts Institute of Technology, 1994. http://hdl.handle.net/1721.1/34045.
Full textIncludes bibliographical references (p. 71-72).
by Vishal Lalit Bhagwati.
M.S.
Chauhan, Anjali. "Hot spot mitigation in microprocessors by application of single phase microchannel heat sink and microprocessor floor planning." Diss., Online access via UMI:, 2009.
Find full textIncludes bibliographical references.
Ergin, Oǧuz. "Register file optimizations for superscalar microprocessors." Diss., Online access via UMI:, 2005.
Find full textJaskot, Roger Dean, and Harold W. Henry. "MICROLAN file transfer program for microprocessors." Thesis, Monterey, California. Naval Postgraduate School, 1985. http://hdl.handle.net/10945/23451.
Full textKoltes, Andreas. "Reconfigurable memory systems for embedded microprocessors." Thesis, University of Cambridge, 2015. https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.709244.
Full textOlson, Joseph Augustine 1959. "Expandable multiprocessor using low cost microprocessors." Thesis, The University of Arizona, 1989. http://hdl.handle.net/10150/277133.
Full textFurman, Samuel Lewis. "iLORE: Discovering a Lineage of Microprocessors." Thesis, Virginia Tech, 2021. http://hdl.handle.net/10919/104071.
Full textMaster of Science
Researchers, benchmarking organizations, and hardware manufacturers maintain repositories of computer component and performance information. However, this data is split across many isolated sources and is stored in a form that is not conducive to analysis. A centralized repository of said data would arm stakeholders across industry and academia with a tool to more quantitatively understand the history of computing. We propose iLORE, a data model designed to represent intricate relationships between computer system benchmarks and computer components. We detail the methods we used to implement and populate the iLORE data model using data harvested from publicly available sources. Finally, we demonstrate the validity and utility of our iLORE implementation through an analysis of the characteristics and lineage of commercial microprocessors. We encourage the research community to interact with our data and visualizations at csgenome.org.
Yoo, Joonhyuk. "Harnessing checker hierarchy for reliable microprocessors." College Park, Md.: University of Maryland, 2007. http://hdl.handle.net/1903/7688.
Full textThesis research directed by: Dept. of Electrical and Computer Engineering. Title from t.p. of PDF. Includes bibliographical references. Published by UMI Dissertation Services, Ann Arbor, Mich. Also available in paper.
Brown, Allen David Evans. "Parametric spectral analysis using digital signal microprocessors." Thesis, University of Hertfordshire, 1993. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.387144.
Full textOsqui, Mitra M. 1980. "Evaluation of software energy consumption on microprocessors." Thesis, Massachusetts Institute of Technology, 2001. http://hdl.handle.net/1721.1/8344.
Full textIncludes bibliographical references (leaves 72-75).
In the area of wireless communications, energy consumption is the key design consideration. Significant effort has been placed in optimizing hardware for energy efficiency, while relatively less emphasis has been placed on software energy reduction. For overall energy efficiency reduction of system energy consumption in both hardware and software must be addressed. One goal of this research is to evaluate the factors that affect software energy efficiency and identify techniques that can be employed to produce energy optimal software. In order to present a strong argument, two state-of-the-art low power processors were used for evaluation: the Intel StrongARM SA-1100 and the next generation Intel Xscale processor. A key step in analyzing the performance of software is to perform a comprehensive tabulation of the energy consumption per instruction, while taking into account the different modes of operation. This leads into a comprehensive energy profiling for the instruction set of the processors of interest. With information on the energy consumption per instruction, we can evaluate the feasibility of energy efficient programming and use the results to gain greater insight into the power consumption of the two processors under consideration. Benchmark programs will be tested on both processors to illustrate the effectiveness of the energy profiling results. The next goal is to look at the leakage current and current consumed during idle modes of the processors and how that impacts the overall picture of energy consumption. Thus energy consumption will be explored for the two processors from both a dynamic and static energy consumption perspective.
by Mitra M. Osqui.
S.M.
Poyneer, Lisa A. (Lisa Ann) 1975. "Term rewriting system models of modern microprocessors." Thesis, Massachusetts Institute of Technology, 1999. http://hdl.handle.net/1721.1/80566.
Full textTseng, Jessica Hui-Chun 1977. "Banked microarchitectures for complexity-effective superscalar microprocessors." Thesis, Massachusetts Institute of Technology, 2006. http://hdl.handle.net/1721.1/37901.
Full textIncludes bibliographical references (p. 95-99).
High performance superscalar microarchitectures exploit instruction-level parallelism (ILP) to improve processor performance by executing instructions out of program order and by speculating on branch instructions. Monolithic centralized structures with global communications, including issue windows and register files, are used to buffer in-flight instructions and to maintain machine state. These structures scale poorly to greater issue widths and deeper pipelines, as they must support simultaneous global accesses from all active instructions. The lack of scalability is exacerbated in future technologies, which have increasing global interconnect delay and a much greater emphasis on reducing both switching and leakage power. However, these fully orthogonal structures are over-engineered for typical use. Banked microarchitectures that consist of multiple interleaved banks of fewer ported cells can significantly reduce power, area, and latency of these structures.
(cont.) Although banked structures exhibit a minor performance penalty, significant reductions in delay and power can potentially be used to increase clock rate and lead to more complexity-effective designs. There are two main contributions in this thesis. First, a speculative control scheme is proposed to simplify the complicated control logic that is involved in managing a less-ported banked register file for high-frequency superscalar processors. Second, the RingScalar architecture, a complexity-effective out-of-order superscalar microarchitecture, based on a ring topology of banked structures, is introduced and evaluated.
by Jessica Hui-Chun Tseng.
Ph.D.
Khan, Mohammad Ziaullah. "Concurrent detection of transient faults in microprocessors." Diss., Virginia Polytechnic Institute and State University, 1989. http://hdl.handle.net/10919/54212.
Full textPh. D.
Van, Buren Brian G. "Graphical microcode simulator with a reconfigurable datapath /." Online version of thesis, 2006. https://ritdml.rit.edu/dspace/handle/1850/2892.
Full textEble, John C. III. "A generic system simulator with novel on-chip cache and throughput models for gigascale integration." Diss., Georgia Institute of Technology, 1998. http://hdl.handle.net/1853/15655.
Full textNarasimha, Swamy Bharath. "Exploiting heterogeneous many cores on sequential code." Thesis, Rennes 1, 2015. http://www.theses.fr/2015REN1S006/document.
Full textHeterogeneous Many Cores (HMC) architectures that mix many simple/small cores with a few complex/large cores are emerging as a design alternative that can provide both fast sequential performance for single threaded workloads and power-efficient execution for through-put oriented parallel workloads. The availability of many small cores in a HMC presents an opportunity to utilize them as low-power helper cores to accelerate memory-intensive sequential programs mapped to a large core. However, the latency overhead of accessing small cores in a loosely coupled system limits their utility as helper cores. Also, it is not clear if small cores can execute helper threads sufficiently in advance to benefit applications running on a larger, much powerful, core. In this thesis, we present a hardware/software framework called core-tethering to support efficient helper threading on heterogeneous many-cores. Core-tethering provides a co-processor like interface to the small cores that (a) enables a large core to directly initiate and control helper execution on the helper core and (b) allows efficient transfer of execution context between the cores, thereby reducing the performance overhead of accessing small cores for helper execution. Our evaluation on a set of memory intensive programs chosen from the standard benchmark suites show that, helper threads using moderately sized small cores can significantly accelerate a larger core compared to using a hardware prefetcher alone. We also find that a small core provides a good trade-off against using an equivalent large core to run helper threads in a HMC. In summary, despite the latency overheads of accessing prefetched cache lines from the shared L3 cache, helper thread based prefetching on small cores looks as a promising way to improve single thread performance on memory intensive workloads in HMC architectures
Erazo, Jorge G. "An emulator system for the MC146805F2/G2 microprocessors." Ohio : Ohio University, 1985. http://www.ohiolink.edu/etd/view.cgi?ohiou1184001657.
Full textEken, Huseyin Baha. "Feasibility analysis and design of a fault tolerant computing system : a TMR microprocessor system design of 64-Bit COTS microprocessors." Thesis, Monterey, Calif. : Springfield, Va. : Naval Postgraduate School ; Available from National Technical Information Service, 2001. http://handle.dtic.mil/100.2/ADA390948.
Full textThesis advisors, Alan A. Ross, Herschel H. Loomis. Includes bibliographical references (p. 101-102). Also Available online.
Farkas, Keith I. "Memory-system design considerations for dynamically-scheduled microprocessors." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1997. http://www.collectionscanada.ca/obj/s4/f2/dsk2/ftp02/NQ27922.pdf.
Full textKim, Byung Moo. "Compilation techniques for multiprocessors based on DSP microprocessors." Diss., Georgia Institute of Technology, 1991. http://hdl.handle.net/1853/13728.
Full textRobinson, Andrew J. "Improving instruction encoding efficiency in low power microprocessors." Thesis, University of Manchester, 2009. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.500489.
Full textDeka, Rabin. "Formulae and multiprocessor algorithms for digital signal microprocessors." Thesis, University of Bradford, 1990. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.304030.
Full textBoettcher, Matthias. "Memory and functional unit design for vector microprocessors." Thesis, University of Southampton, 2014. https://eprints.soton.ac.uk/365071/.
Full textAhmed, Mohamed Hassan Abouelella. "Power Architectures and Design for Next Generation Microprocessors." Diss., Virginia Tech, 2019. http://hdl.handle.net/10919/103175.
Full textDoctor of Philosophy
With the rapid increase of cloud computing and the high demand for digital content, it is estimated that the power consumption of the IT industry will reach 10 % of the total electric power in the USA by 2020. Multi-core processors (CPUs) and graphics processing units (GPUs) are the key elements in fulfilling all of the digital content requirements but come with a price of more power-hungry processors, driving the power per server rack to 20 KW levels. The need for more efficient power management solutions on the architecture level, down to the converter level, is inevitable. The data center manufacturers have recently adopted a more efficient architecture that supplies a 48V DC server rack distribution instead of a 12V DC distribution to the server motherboard. This helped reduce costs and losses, but as a consequence, raised a challenge in the design of the DC/DC voltage regulator modules (VRM) supplied by the 48V, in order to power the CPU and GPU. In this work, different architectures will be explored for the 48V VRM, and the trade-off between them will be evaluated. The main target is to design the VRM with very high-efficiency and high-power density to reduce the cost and size of the CPU/GPU motherboards. First, a two-stage power conversion structure will be used. The benefit of this structure is that it relies on existing technology using the 12V VRM for powering the CPU. The only modification required is the addition of another converter to step the 48V to the 12V level. This architecture can be easily adopted by industry, with only small modifications required on the system design level. Secondly, a single-stage power conversion structure is proposed that achieves higher efficiency and power density compared to the two-stage approach; however, the structure is very challenging to design and to meet all requirements by the CPU/GPU applications. All of these challenges will be addressed and solved in this work. The proposed architectures will be designed using an optimized magnetic structure. These structures achieve very high efficiency and power density in their designed architectures, compared to state-of-art solutions. In addition, they can be easily manufactured using automated manufacturing processes.
Buehler, Christopher James 1974. "An instruction scheduling algorithm for communication-constrained microprocessors." Thesis, Massachusetts Institute of Technology, 1998. http://hdl.handle.net/1721.1/46254.
Full textIncludes bibliographical references (p. 130-132).
This thesis describes a new randomized instruction scheduling algorithm designed for communication-constrained VLIW-style machines. The algorithm was implemented in a retargetable compiler system for testing on a variety a different machine configurations. The algorithm performed acceptably well for machines with full communication, but did not perform up to expectations in the communication-constrained case. Parameter studies were conducted to ascertain the reason for inconsistent results.
by Christopher James Buehler.
S.M.
Sung, Hyojin. "A portable MATLAB front-end for tiled microprocessors." Diss., Connect to a 24 p. preview or request complete full text in PDF format. Access restricted to UC campuses, 2009. http://wwwlib.umi.com/cr/ucsd/fullcit?p1461998.
Full textTitle from first page of PDF file (viewed March 3, 2009). Available via ProQuest Digital Dissertations. Includes bibliographical references (p. 56-58).
Wadell, Robert Paul. "Experimental Investigation of Compact Evaporators for Ultra Low Temperature Refrigeration of Microprocessors." Thesis, Georgia Institute of Technology, 2005. http://hdl.handle.net/1853/7198.
Full textCrummey, Thomas Paul. "A hardware scheduler for parallel processing in control." Thesis, Bangor University, 1998. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.265508.
Full textSingh, Aditya Kumar. "Design and development of fuzzy expert system for handy board." Morgantown, W. Va. : [West Virginia University Libraries], 1999. http://etd.wvu.edu/templates/showETD.cfm?recnum=1177.
Full textTitle from document title page. Document formatted into pages; contains v, 134 p. : ill. (some col.). Includes abstract. Includes bibliographical references (p. 66-69).
Jiménez, Daniel Angel. "Delay-sensitive branch predictors for future technologies." Full text (PDF) from UMI/Dissertation Abstracts International, 2002. http://wwwlib.umi.com/cr/utexas/fullcit?p3081043.
Full textLópez, Julià Toni. "Prospects of voltage regulators for next generation computer microprocessors." Doctoral thesis, Universitat Politècnica de Catalunya, 2010. http://hdl.handle.net/10803/77908.
Full textTang, Guang-ming. "Studies on Datapath Circuits for Superconductor Bit-Slice Microprocessors." 京都大学 (Kyoto University), 2016. http://hdl.handle.net/2433/217208.
Full textPuttaswamy, Kiran. "Designing high-performance microprocessors in 3-dimensional integration technology." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2007. http://hdl.handle.net/1853/19759.
Full textCommittee Chair: Loh, Gabriel H.; Committee Co-Chair: Lee, Hsien-Hsin S.; Committee Member: Lim, Sung Kyu; Committee Member: Prvulovic, Milos; Committee Member: Yalamanchili, Sudhakar; Committee Member: Yoder, Douglas.
Chamdani, Joseph Irawan. "Microarchitecture techniques to improve the design of superscalar microprocessors." Diss., Georgia Institute of Technology, 1995. http://hdl.handle.net/1853/15509.
Full textChiou, Derek. "Extending the reach of microprocessors : column and curious caching." Thesis, Massachusetts Institute of Technology, 1999. http://hdl.handle.net/1721.1/80200.
Full textHam, Christopher V. "UTILIZING OFF-THE-SHELF MICROPROCESSORS FOR COMPLEX TELEMETRY PREPROCESSING." International Foundation for Telemetering, 1986. http://hdl.handle.net/10150/615416.
Full textThis paper describes a system utilizing off-the-shelf microprocessor hardware to perform complex high-speed telemetry data preprocessing. The microprocessor equipment involves the latest in the Motorola computer series, namely the 68020 line. The author develops the specifications leading to the need of this type of preprocessor which is currently being developed under a contract to the McDonnell Douglas Helicopter Company. The paper fully describes the configuration of the hardware as well as the software available on the system. Detailed benchmarks of complex algorithms and other data manipulations are described. Test results relating parameter capacity and throughput are addressed. System architecture is described with the various trade-off analyses well defined. This system advances the art of preprocessing telemetry parameters requiring such functions as wild pointing, phase alignment concatenation, and derivations, all at rates in the megaword input.
Wei, Jia. "High Frequency High-Efficiency Voltage Regulators for Future Microprocessors." Diss., Virginia Tech, 2004. http://hdl.handle.net/10919/11254.
Full textPh. D.
Renaghan, Liam Eamon. "On-Chip Isotropic Microchannels for Cooling Three Dimensional Microprocessors." Thesis, Virginia Tech, 2009. http://hdl.handle.net/10919/36404.
Full textMaster of Science
Krishnamurthy, Sivasubramaniam T. "STATIC TIMING ANALYSIS OF MICROPROCESSORS WITH EMPHASIS ON HEURISTICS." Case Western Reserve University School of Graduate Studies / OhioLINK, 2008. http://rave.ohiolink.edu/etdc/view?acc_num=case1201299462.
Full text