Dissertations / Theses on the topic 'Multiple thin film stack'
Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles
Consult the top 50 dissertations / theses for your research on the topic 'Multiple thin film stack.'
Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.
You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.
Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.
Helán, Radek. "Modelování a optimalizace komplexních vláknových difrakčních struktur." Doctoral thesis, Vysoké učení technické v Brně. Fakulta elektrotechniky a komunikačních technologií, 2009. http://www.nusl.cz/ntk/nusl-233450.
Full textAchlan, Moustafa. "Surface Plasmon Polariton and Wave Guide Modes in a Six Layer Thin Film Stack." Thesis, Université Paris-Saclay (ComUE), 2018. http://www.theses.fr/2018SACLS109.
Full textIn this thesis, we investigate the optical properties of a six-layer stack (air-Au-SiO₂-Au-Ti-glass). The interfaces are flat and the modeling is performed using elementary Fresnel expressions at the interface and plane wave propagation in the layers. Two models are used where the sample is: i) excited by a source at infinity (excitation by source at infinity (ESI)); ii) excited by a local source. In the experiments we are modeling this source consists of the inelastic tunneling electrons from a scanning tunneling microscope (STM). In our modeling this source is replaced by a vertical oscillating dipole. Using these two models one calculates the reflected (reflectance) and the transmitted (transmittance) flux from a source at infinity and the transmitted flux of a local source. Surface plasmon polariton (SPP) and wave guide (WG) modes may be identified in the reflectance, transmittance and transmitted flux. In a particular wavelength domain the SPP and WG repel each other giving rise to an avoided crossing. The choice of the gold (Au) and silica (SiO₂) thicknesses of the six-layer stack is guided by two requirements: high amplitude of the observable and wide wavelength dependence of the in-plane wave vector. We also study the influence of the gold and silica thicknesses on the observables. We find that the observables are significant for dAu[10, 90 nm] for the three and dAu[10, 50 nm] for six layer stacks and this predictive study guided the choice of the experimental sample thicknesses. The wave guide mode appears for dSiO₂ >190 nm. The electric field as a function of the penetration coordinate z is calculated in order to characterize the location of the field in the stack and to assign the nature of the modes. We observe that for the SPP the electric field is confined at the Au-air interface whereas, the electric fields corresponding to the WG mode are confined inside SiO₂ layer. Our calculations presented in this work are in good agreement with the experimental measurements performed in our group
Martinho, Filipe Mesquita Alves. "Development of CZTSSe thin film solar cells with inclusion of selenium in the precursor stack." Master's thesis, Universidade de Aveiro, 2017. http://hdl.handle.net/10773/23375.
Full textOs compostos designados como CZTS (Cu2ZnSnS4, Cu2ZnSnSe4 e Cu2ZnSn(Sx, Se1-x)4) constituem atualmente uma das maiores promessas para produção de células solar à escala de TW, devido ao uso de elementos abundantes e ambientalmente benignos, ao contrário dos compostos equivalentes no sistema CIGS. Contudo, ainda é necessário ultrapassar algumas barreiras de modo a atingir níveis de performance necessários para o desenvolvimento à escala industrial desta tecnologia. Uma tendência de investigação promissora consiste em introduzir uma gradação vertical no bandgap, ao longo da espessura da camada absorvente, através da variação do rácio S/(S + Se). Neste trabalho, camadas absorventes à base de CZTSxSe1-X foram produzidas pelo método de deposição de precursores empilhados por pulverização catódica seguido de sulfurização em H2S, em que o elemento Se é introduzido nos precursores por evaporação térmica. Duas configurações foram testadas: uma com a sequência de empilhamento ZnS/SnS2/Se/Cu repetida por vários períodos, e outra em que uma única camada de Se é introduzida no topo da sequência total de precursores. Usando este método, foram atingidos rácios S/(S + Se) aproximados desde 1 até perto de 0.5. A gradação da composição em profundidade foi parcialmente atingida, como é revelado através de Radiofrequency Pulsed Glow Discharge Time-of-Flight Mass Spectrometry (rf-PGDTOFMS). As camadas absorventes resultantes foram usadas para fabricar células com a estrutura SLG/Mo/CZTSSe/CdS/i-ZnO/ITO. A eficiência máxima atingida nos dispositivos fabricados foi 1.3%, numa camada com um rácio S/(S + Se) aproximado de 0.91, produzida a partir de precursores com Se no interior dos precursores empilhados. Microscopia de Eletrões Secundários (SEM), Espetroscopia de Dispersão de Energia (EDS), espetroscopia Raman e rf-PGD-TOFMS foram usados para caraterizar as amostras produzidas. As camadas absorventes produzidas, incluindo as com maior eficiência fotovoltaica, exibiram bolhas, rebentadas ou não rebentadas, e que rebentavam superficialmente ou rebentavam expondo o contacto inferior completamente, dependendo das condições de processamento. Este fenómeno foi estudado em detalhe, e novas observações não reportadas na literatura até ao momento foram encontradas, apontando para a possibilidade de controlar a formação de bolhas através do ajuste do perfil de sulfurização. Baseado nestes resultados, sugere-se uma revisão dos modelos propostos na literatura, e propõe-se um novo modelo baseado na volatilização de reagentes.
CZTS-based compounds (Cu2ZnSnS4, Cu2ZnSnSe4 eCu2ZnSn(Sx, Se1-x)4) have become one of the major contenders for solar cell production at the TW level owing to the use of earth-abundant and environmentally benign elements, unlike their CIGS counterparts. However, some hurdles still need to be overcome in order to achieve performance levels necessary for industrial scalability. One promising trend of investigation consists in introducing a vertical bandgap grading along the thickness of the absorber by means of a variation of the S/(S + Se) ratio. In this work, CZTSxSe1-X absorbers were produced by the standard two-step process of sputtering deposition of a precursor stack followed by annealing in H2S, where the element Se was added in the precursor deposition stage using thermal evaporation. Two configurations were tested: one with the stacking sequence ZnS/SnS2/Se/Cu repeated for various periods, and another configuration where a single Se layer is evaporated on top of the multi-period precursor stack. Using this method, average S/(S + Se) ratios from 1 to close to 0.5 were demonstrated. Composition grading in depth was partially achieved as shown by Radiofrequency Pulsed Glow Discharge Time-of-Flight Mass Spectrometry (rf-PGD-TOFMS). The resulting absorbers were used to fabricate solar cells with the structure SLG/Mo/CZTSSe/CdS/i-ZnO/ITO. The highest efficiency achieved in the devices produced was 1.3%, using an absorber with an average S/(S + Se) of 0.91, produced from precursors with Se within the stack. Secondary Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), Raman spectroscopy and rf-PGD-TOFMS was used to characterize the absorbers produced. The absorbers produced, including the highest performing devices, exhibited blisters, which would either burst or not burst, and burst either superficially or completely exposing the back contact, depending on processing conditions. This phenomenon was studied in detail, and new evidence was found, which has not been considered in literature so far, pointing to the possibility of significantly controlling blister formation by appropriately tuning the sulfurization profile. Based on these results, a review of the models proposed in literature to explain blister formation in CZTS is suggested, and a new model based on the volatilization of reactants is proposed.
Andria, Sara. "Spectroelectrochemical Sensing: Novel Thin Film Characterization and Multiple Analyte Detection." University of Cincinnati / OhioLINK, 2009. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1253548394.
Full textMacke, Benjamin Tyler. "CHARACTERIZATION OF AN ELECTRON GUN CONTROLLED MULTIPLE SPATIAL REGION PIEZOELECTRIC THIN FILM." UKnowledge, 2003. http://uknowledge.uky.edu/gradschool_theses/312.
Full textMohd, Daut Mohamad Hazwan. "Pico-grid : multiple multitype energy harvesting system." Thesis, University of Cambridge, 2019. https://www.repository.cam.ac.uk/handle/1810/289426.
Full textJohn, Dominik [Verfasser]. "Uniqueness and Stability near Stationary Solutions for the Thin-Film Equation in Multiple Space Dimensions with Small Initial Lipschitz Perturbations / Dominik John." Bonn : Universitäts- und Landesbibliothek Bonn, 2013. http://d-nb.info/104527626X/34.
Full textApicella, Fernandez Sergio. "Surface energy modification of metal oxide to enhance electron injection in light-emitting devices : charge balance in hybrid OLEDs and OLETs." Thesis, Högskolan i Gävle, Avdelningen för elektronik, matematik och naturvetenskap, 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:hig:diva-25097.
Full textCecci, Ricardo Rodrigo Ramos. "Síntese e caracterização de nanocompósitos de PMMA/NTC para aplicações em células fotovoltaicas orgânicas." [s.n.], 2013. http://repositorio.unicamp.br/jspui/handle/REPOSIP/266636.
Full textDissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Química
Made available in DSpace on 2018-08-22T08:51:19Z (GMT). No. of bitstreams: 1 Cecci_RicardoRodrigoRamos_M.pdf: 4182332 bytes, checksum: 4424cc557c439a1602d15a95921a2b25 (MD5) Previous issue date: 2013
Resumo: Nanotubos de carbono (NTCs) apresentam características interessantes para aplicações em eletrodos transparentes. Quando dispersos em escala nanométrica são transparentes ao espectro da luz visível e são capazes de conduzir eletricidade após atingirem percolação em matrizes poliméricas isolantes. Neste trabalho, é descrito o uso de nanotubos de carbono de camadas múltiplas (NTCMs) na produção de filmes poliméricos flexíveis transparentes e condutores como alternativa ao uso do óxido de índio dopado com estanho (ITO) em células solares orgânicas. Os nanotubos foram dispersos e purificados em solução aquosa de dodecil sulfato de sódio (SDS) pelos processos de sonicação e centrifugação, e a dispersão monitorada por espectroscopia UV-Vis e potencial ?. Os nanocompósitos foram produzidos via polimerização in situ do monômero metacrilato de metila (MMA) na presença de 0 a 1% p/p NTCs e os filmes depositados através da técnica de revestimento por rotação a alta velocidade (spin coating). A reação de polimerização foi acompanhada pela calorimetria exploratória diferencial (DSC). Verificou-se que na presença de NTCs, a taxa de conversão do monômero é acelerada. A cinética de degradação térmica foi avaliada pelo método de Broido utilizando a análise termogravimétrica (TGA). Foi observado que os NTCs aumentam a estabilidade térmica do PMMA, retardando a degradação por despolimerização. Estudos por espectroscopia FT-IR mostraram uma banda de absorção em 1601 cm-1, (C=C), a qual não é característica do PMMA, indicando que os NTCs participam da polimerização do PMMA. Para concentrações de até 1% p/p de NTCs, os filmes PMMA/NTC apresentaram excelentes propriedades ópticas. Ou seja, baixo coeficiente de absorção, na ordem de 103 cm-1, altos valores de gap óptico (Eopt), entre 3,2 e 4,14 eV, e alta transparência por todo espectro visível, entre 88 e 93%. Nas mesmas concentrações, foi observada uma diminuição substancial na resistividade elétrica dos filmes em 8 ordens de grandeza (de 1016 para 108 ?/quadrado), comparados ao filme de PMMA puro. Entretanto, a faixa de resistividade alcançada ainda é típica de materiais isolantes. Estudos de otimização poderiam originar filmes PMMA/NTC como uma alternativa promissora para ITO em OPVs
Abstract: Carbon nanotubes (CNTs) have interesting features for applications in transparent electrodes. When dispersed at the nanoscale, they become transparent within the visible range and are able to conduct electricity after reaching the percolation threshold in an insulating polymer matrix. In this work, the use of multi-walled carbon nanotubes (MWCNTs) is described for the production of flexible transparent conducting polymer films as an alternative to the use of indium-tin oxide (ITO) in organic solar cells. The nanotubes were dispersed and purified in an aqueous solution of sodium dodecyl sulfate (SDS) by the process of sonication and centrifugation, and the dispersion monitored by UV-Vis spectroscopy and ? potential. The nanocomposites were produced via in situ polymerization of the monomer methyl methacrylate (MMA) in the presence of 0 to 1 %wt. of CNTs. The films were deposited by the spin-coating technique. The polymerization reaction was monitored by differential scanning calorimetry (DSC). It was found that in the presence of CNTs, the conversion rate of the monomer is accelerated. The kinetics of thermal degradation was measured according to the Broido's method by using thermogravimetric analysis (TGA). It was observed that CNTs increase the thermal stability of PMMA, slowing degradation by depolymerization. FT-IR data showed an absorption band at 1601 cm-1 (C = C), which is not characteristic of PMMA, indicating that the CNTs takes place in the polymerization of PMMA. For concentrations up to 1wt% of CNTs, the PMMA/CNT films had excellent optical properties, i.e., a low absorption coefficient in the order of 103 cm-1, wide optical gap (Eopt) between 3.2 and 4.14 eV, and high transparency within the whole visible range, between 88 and 93%. In the same concentrations, the electrical resistivity of the films dropped by 8 orders of magnitude (from 1016 to 108 ?/sqr), compared to the pure PMMA film. Even though this electrical resistivity value is typical of insulating materials, further optimization studies could provide PMMA/CNT films as a promising alternative to ITO in OPV
Mestrado
Ciencia e Tecnologia de Materiais
Mestre em Engenharia Química
Bouhki, Mohamed. "Amorphisation par réaction à l'état solide dans les multicouches NI/TI." Nancy 1, 1993. http://www.theses.fr/1993NAN10005.
Full textRuterana, Pierre. "Structure des interfaces, etude par microscopie electronique en transmission, application : materiaux semiconduteurs iii-v et multicouches pour optiques dans le domaine des rayons x mous." Caen, 1987. http://www.theses.fr/1987CAEN2032.
Full textSarrazin-Baudoux, Christine. "Etude du mixage ionique dans un système à grande limite de solubilité : cas du Cuivre-Nickel, caractérisation de l'adhérence de ces revêtements sur substrat acier." Poitiers, 1987. http://www.theses.fr/1987POIT2305.
Full textPellissier, Anne. "Etude structurale et microscopique du système Y/Si." Grenoble INPG, 1989. http://www.theses.fr/1989INPG0031.
Full textCherradi, Nabih Mohamed. "Propriétés de transport électronique à basse température d'alliages amorphes Au-Si et de multicouches Au/Si." Nancy 1, 1989. http://www.theses.fr/1989NAN10011.
Full textBENSOUSSAN, SERGE. "Deformations dans les heterostructures epitaxiees sur des substrats semiconducteurs iii-v : etude experimentale par diffraction de rayons x et simulation sur ordinateur." Paris 6, 1986. http://www.theses.fr/1986PA066374.
Full textVergnat, Michel. "Hydrogénation d'alliages semi-conducteurs amorphes : Structure et propriétés électroniques des alliages amorphes hydrogènes SI::(1-X)SN::(X):H." Nancy 1, 1988. http://www.theses.fr/1988NAN10322.
Full textRouxel, Yann. "Coévaporation avec masquage mécanique de ZnSe et de LaF3, pour la réalisation de couches minces à profils d'indice continus périodiques." Université Joseph Fourier (Grenoble), 1996. http://www.theses.fr/1996GRE10096.
Full textJaouen, Christiane. "Etude des mécanismes des transformations de phase induites par irradiation aux ions dans les systèmes métalliques." Poitiers, 1987. http://www.theses.fr/1987POIT2019.
Full textBrouder, Christian. "Contribution à l'étude des structures d'absorption X dans les solides : Application à l'amorphisation par réaction à l'état solide." Nancy 1, 1987. http://www.theses.fr/1987NAN10330.
Full textMa, Sheng-Ju, and 馬聖茹. "System for Measuring Optical Admittance of a Thin Film Stack." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/00338854950897065431.
Full text國立中央大學
光電科學研究所
96
A new method based on polarization interferometry is demonstrated. An optical system is built to obtain the phase and magnitude of reflection coefficient, as well as the optical admittance at normal incidence. We use Twyman Green interferometer to induce phase difference between two beams which have orthogonal polarizations and the Fizeau cavity makes interference happen. The refractive index and thickness of single layer thin film can be analytically found without numerical fitting. In addition,, we can use numerical fitting to get the refractive and index, thickness for multilayer film stack. In this article, the validity of the above characteristics will be examined theoretically and experimentally. There are two parts in this study. The first part of the experiments is the framework and discussion and the second part is the second kind of framework and experimental results.
Lin, Yushih, and 林玉時. "The Investigation of Organic Ferroelectric Thin Film in Gate Stack Structure." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/11831146649420065483.
Full text國立交通大學
電子研究所
100
Ferroelectric materials have been widely used in modern life. Among all applications, ferroelectric random access memory (FeRAM) has a large portion of ferroelectric materials due to a candidate of emerging nonvolatile memory, in which ferroelectric-gate field effect transistor (FeFET) was attracted much attention because of high density integration. Recently a new approach of FeFET has been proposed to lower subthreshold swing, which can reduce supply voltage and power dissipation. In order to fabricate FeFET, ferroelectric materials stacked on Si substrate need high temperature anneal, causing interdiffsion and poor interface, so that decreases ferroelectric charateristics. Inserting a buffer layer is a solution but FeFET cannot operate at low voltage. Organic ferroelectric is with advantage of lower dielectric constant, low-temperature process, easy fabrication of large area, and feasibility of flexible electronics, for which many studies and applications have been reported. In this thesis different gate stack capacitors with polyvinyl alcohol (PVA) or poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] are fabricated and analysis characteristics by morphology, J-V and C-V characteristics with changing temperature. Si-based transistors with low-temperature formed Schottky contact and SiO2/PVA/SiO2 or SiO2/P(VDF-TrFE)/SiO2 gate stack are fabricated and analyzed.
Li, Yen-Yin, and 黎延垠. "Spectroscopic Characterization of Si/Mo Thin-film Stack at Extreme Ultraviolet Range." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/5y4es9.
Full text國立臺灣大學
光電工程學研究所
106
Using extreme ultraviolet (EUV) radiation for nanoscale imaging has recently seen much interest. As actinic patterned mask inspection tools are not available, chipmakers must rely on wafer inspection to identify mask defects. The current 193 nm-based technologies have their limitations in terms of extending to 7 nm and beyond. Hence, there is a need to reduce the wavelength of the inspection tools. An EUV source (13.5 nm) with high brightness, stability (spatial and temporal) and cost effectiveness is needed. The laser-produced plasma (LPP) EUV source has shown promise to be the source of the mask inspection tools. In a LPP EUV system, a high-intensity laser beam is focused onto a target material to form a plasma, which emits ultraviolet light. The main advantage of such a EUV source is the small plasma volume. Compared with the discharge-produced plasma (DPP) EUV system, LPP EUV allows for fewer fragments or particles generation, higher light collection efficiency, and better power scalability. A compact and wavelength-calibration-free interferometric scheme was numerically and experimentally investigated using a LPP EUV source. A Michelson-type interferometer with a common path, formed by a Si/Mo-multilayer-based beam splitter and mirror, was utilized to achieve system compactness. Based on the Wiener–Khinchin theorem, an accurate EUV spectrum was obtained by numerically analyzing the measured signal autocorrelation without performing wavelength calibration. The achieved spectral resolution of 30 pm was comparable to those of flat-field spectrometers. The issues related to the multilayer mirror used in the interferometer are also discussed. A noninvasive method for characterizing Si/Mo thin-film stack thickness and its complex transfer function using common-path optical coherence tomography (OCT) is proposed, analyzed and experimentally demonstrated. The measured complex transfer function of the Si/Mo stack was verified near the pristine 13.5 nm wavelength range. In addition to, the image quality is highly dependent on its signal-to-noise ratio (SNR). The SNR of this common-path OCT is discussed. Having these knowledge and accomplishments, the novel EUV based OCT using both the LPP EUV source and common-path design has shown promise to be a nondestructive tomographic method for mask inspection.
Jhang, Jia-Rong, and 張家榮. "Fabrication of Multiple Nano-Channel Thin Film Transistors." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/61122038768743484029.
Full text國立暨南國際大學
電機工程學系
98
In this thesis, the multiple nano-channel thin film transistors were fabricated by combing the UV nano imprint technology and the traditional thin film transistor process. The HSQ nano mold, with the minimum line/spacing width of 200nm/100nm and the maximum height of 350nm, was fabricated by E-Beam lithography. The Poly-Si multiple nano-channels were fabricated by UV nanoimprint and reactive ion etching. Then the thin film transistors were fabricated by following the conventional TFT process. The electrical characterizations of multiple nano-channel TFTs were measured by HP4156 and HP4284. In our results, the multiple nano-channel TFTs with the line the minimum line/spacing width of 200nm/100nm, show the best on-off current ratio (8.12XE6), the threshold voltage (0.9V), the carrier mobility of (37.2 cm2/V-s ), and the subthreshold swing (0.437 V / dec) in all devices. This technique will be suitable for the future TFTs on the flat panel display.
Lo, Po-Yuan, and 駱伯遠. "Gate Stack Engineering of Inkjet-Printed Organic Thin Film Transistors and Their Reliability Study." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/86966931533077638364.
Full text國立中央大學
電機工程研究所
99
The development of flexible electronics has been focused on the searching of organic materials in the past decade. An organic semiconductor material, poly-3-hexyl-thiophene (P3HT) has been found as a practical semiconductor material. The P3HT used in organic thin film transistors (OTFTs) typically has a carrier mobility of 0.01 cm2/V-s. However, the reliability of P3HT OTFTs needs further improvement for practical application and also needs to be tested under light irradiation. The low contact resistance device structure was first studied with a pentacene OTFTs of single gate devices and the thermal stability of the devices was evaluated. Base on the device structure, an inkjet printing technique is introduced to print both the semiconductor and the dielectric layers. In the printed semiconductor layer, the ION/OFF of P3HT OTFTs can be increased through a restriction of the printed area. In the printed dielectric layer, the rough dielectric surface reduces the gate controllability on the channel. The poor gate modulation dose reduces the ION/OFF by 2 orders of magnitude on the P3HT OTFTs. Therefore, spin coated dielectric film is suggested to work with inkjet printed P3HT OTFTs. An interesting result of the single gate OTFTs still shows a limitation on modulated device performance of Vth, ION, and operation power. Therefore, a double gate modulation scheme is proposed to control the Vth, ION, and operation power. Other improvements on OTFTs include an organic dielectric modification and a stacked gate dielectric layer. Either the dielectric modification or the stacked dielectric layers show the change of dielectric bulk property, which influences the carrier transportation in the P3HT. To explain a possible mechanism on this improvement, compensation between acceptor-like traps with donor-like traps in the dielectric layers is proposed. The postulate is proven by the I-V characteristics and C-V. For the reliability study, the influence of the photo generated extract electron-holes in the semiconductor are analyzed on the stacked gate dielectrics of the P3HT OTFTs. The influence of the photo generation electron-holes and the traps compensation are discussed systematically. The other reliability issue on single gate dielectric P3HT OTFTs is the introduction of electric stress that causes a defect formation in the poor quality dielectric layer. The defect can be investigated with a non-destructive scanning acoustic microscope (SAM). In order to improve the yield of OTFTs, the gate dielectric film can be inspected by SAM before integrating with the OTFTs. To reach a high performance OTFTs, the knowledge of stacked gate organic layer applied on the interface treatment. The treatment further enhances the grain growth of the polycrystalline thiophene from the electrode and improves the mobility to as high as 0.1 cm2/V-s.
Hu, You-yuan, and 胡又元. "Crystallization of Multiple-Crystalline Block Copolymers in Thin Film." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/31997767527724380001.
Full text國立中山大學
材料與光電科學學系研究所
101
In this study, crystal growths of multiple-crystalline poly(ethylene oxide)-block-poly(ε-caprolactone)-block-poly(L-lactide) (PEO-PCL-PLLA) triblock copolymers in thin films are investigated by melt and solvent-induced crystallizations. Differential scanning calorimetry (DSC) and in-situ wide angle X-ray diffraction (WAXD) results indicate that the PEO, PCL, and PLLA blocks are able to sequentially crystallize in bulk state. The crystalline morphologies of the PEO-PCL-PLLA in thin films were explored using transmission electron microscopy (TEM) associated with selected-area electron diffraction (SAED). Only single crystallization of PLLA, PCL or PEO, i.e., one of the blocks is crystallizable and the others are non-crystalline, can be found in the melt-crystallized PEO-PCL-PLLA thin films. This might be due to a crystalline template driven by the first-crystallized block, giving a robust confined environment for the subsequent crystallization. Notably, the PLLA and PCL single crystals with flat-on orientation (i.e., c-axis is perpendicular to substrate surface) can be observed, whereas the PEO single crystal possess edge-on (i.e., c-axis is parallel to substrate surface) orientation due to low crystallization temperature. Most interestingly, single- double- or/and triple-crystalline morphologies can be observed in the PEO-PCL-PLLA thin films by solvent-induced crystallization. After solvent annealing by neutral chlorobenzene and PCL-selective toluene, the development of crystalline morphologies from single to double and to triple crystallization in sequence, that is PLLA → PCL → PEO, is carried out due to solvent selectivity. By contrast, after solvent annealing by n-hexanol, the evolution of crystalline morphologies from single to double crystallization in sequence, that is PCL → PLLA, is accomplished. The non-crystalline PEO block is attributed to strong polar interaction between PEO and n-hexanol, giving rise to dissolution of the PEO. Similar crystalline tendency can be observed in the PEO-PCL-PLLA BCP thin film having non-crystalline PLLA block due to short chain length. In contrast to melt crystallization, the solvent-induced formation of single crystals all exhibit flat-on chain orientation consistent to that obtained from solution crystallization. Most interestingly, these solvent-induced crystalline morphologies exhibit epitaxial crystallization associated with the crystallization sequence. In the double-crystalline morphologies, i.e., PLLA → PCL or PCL → PLLA, two cases with the angle θ = 0o or 90o between aPLLA and aPCL are found, indicating the lattice matching between the PLLA and PCL crystals. In addition, the angle θ = 30o between the aPLLA and aPCL, in which the dimension of (110)PLLA is almost identical to bPCL, is obtained, namely, “soft epitaxy”. In the triple-crystalline morphologies, the third-crystallized PEO exhibits soft epitaxy with the preformed second-crystallized PCL crystal, in which the growth plane of (120)PEO is parallel to that of (110)PCL. As a result, the control of crystalline morphologies associated with different crystallization sequences and chain orientations can be achieved in the multiple-crystalline PEO-PCL-PLLA thin films by melt and solvent-induced crystallizations.
Tsai, Chiu-Pao, and 蔡秋寶. "Characteristics of Low-Temperature Polysilicon Thin-Film Transistors with a Novel Stack Gate Dielectric Structure." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/72333214681613074733.
Full text國立交通大學
電子工程系
90
The low temperature polysilicon thin-film transistors (TFTs) have been used in a wide variety of applications in active matrix liquid crystal displays (AMLCDs). Thus, conventional high-temperature thermal oxidation was not suitable for them due to glass substrates. Therefore, the low temperature process of high quality gate dielectric film is necessary for TFTs device fabrication. In this thesis, low-temperature poly-Si TFTs with a novel stack dielectric structure in-situ grown by plasma-enhanced chemical vapor deposition (PECVD) system were presented. The novel stack dielectric is composed of N2O-plasma grown oxide and TEOS oxide has superior electrical properties. The stack oxide grown on poly-Si films shows higher breakdown fields (8.4 MV/cm) than traditional TEOS oxide. The fabricated poly-Si TFTs with a novel stack oxide show high performance characteristics, including smaller threshold voltage, smaller subthreshold swing, higher on/off current ratio (> 3.5x106), especially in the field effective mobility increased more than five times, and also remarkable reliability. These improvements were attributed to the high quality N2O-plasma grown oxide forming smoother surface and strong Si≡N bonds in the oxide/polysilicon interface. In the other hands, N2O-plasma passivation effect induces large amount of nitrogen and oxygen atoms passivated the traps in the polysilicon grain boundaries. Finally, we further proposed different kinds of passivation methods for achieving higher quality gate oxide and reducing polysilicon trap density for promoting the electrical properties of poly-Si TFTs. These methods include NH3-plasma passivation, N2O-plasma passivation, and annealed in O2 or N2O furnace ambient. It was found that proposed poly-Si TFTs after NH3-plasma directly passivated on stack oxide exhibited significantly superior devices characteristics to others due to the hydrogen passivation of defects and traps, and the nitrogen pile-up at the SiO2/poly-Si interface and the strong Si≡N bonds formation to passivate the dangling bonds at the grain boundaries in the polysilicon.
Chiou, Guan-Yu, and 邱冠毓. "The Study of Transparent Thin-Film Transistors with Multiple channels." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/53160354430398101583.
Full text國立暨南國際大學
電機工程學系
99
In this there, the transparent ZnO TFTs with multiple channels were fabricated by Sol-Gel method. The first, the characteristics of ZnO thin film after spin coating and thermal annealing were studied by α-step、Four-point probe、SEM、GIXRD、 UV-VIS and AES. Then, the ZnO TFTs with multiple channels were fabricated. The multiple channels were fabricated on n-doped silicon wafer by photolithography and reactive ion etching. After that, gate oxide were deposited onto silicon wafer via thermal or oxidation LPCVD method. The ZnO thin films were coated onto gate oxides. Electrode of Al were deposited on ZnO thin film, to from bottom gate transistors. All sample showed high transparent characteristic, that all above 80% of transmittance, According to the experiment result, samples with third-ZnO-coatings lower resistivity(0.587KΩ-cm), larger grain size (21.44nm), higher(002) orientated structure as compared to that of single-coating or twice-coating. Comparing to single channel ZnO TFTs, the multiple channel ZnO TFTs exhibited higher on-off ratio (~1.36x10 7 ) , sharper sub-threshold swing (~1.57 V/dec) , higher mobility (~94.2 cm²/V.s).
YAN, YING-CI, and 顏瑛慈. "Ellipsometry measurement on heavily absorptive thin film with multiple sandwiched layers." Thesis, 1991. http://ndltd.ncl.edu.tw/handle/01253823048500253645.
Full textLiu, Siou-Ting, and 劉修廷. "The Study of Transparent Thin-Film Transistors with Multiple Nano channels." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/35480467986537797136.
Full text國立暨南國際大學
電機工程學系
100
In this work, the transparent ZnO TFTs with multiple nano channels were fabricated by sol-gel method and nanoimprint technology. The electrical and material characterizations of ZnO thin film deposition on SiO2 and HfO2 gate dielectric were also studied. First, the multiple cahannels were fabricated on n+-doped silicon wafer by nanoimprint and reactive ion etching. After that, the SiO2 or HfO2 gate oxides were deposited by oxidation LPCVD or ALD method. The ZnO thin film active layers were coated on gate oxides. Al metal was deposited on ZnO thin film to form the bottom gate transistors. Increase annealing temperature, the ZnO film’s roughness and grain size increase, the resisivity decrease. And have preferred (002) peak orientation. Using the ZnO film with microwave annealing temperature 480℃, 100s to fabricate TTFTs has channel length 10 um, exhibits the sharper subthreshold (1.34 V/dec), larger on/off ratio(5.11×103), and high mobility(3.76×10-2 cm2/V.s). The multi-channels structure can improve the TTFTs characteristic, lower the subthreshold to 1.05 V/dec and increase on/off ratio and mobility to 1.54×104 and 5.41×10-2 cm2/V.s.
Chen, Jun-Yu, and 陳俊宇. "The Study of Organic Thin Film Transistors with Multiple Nano Channels." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/70028882270310671101.
Full text國立暨南國際大學
電機工程學系
99
In this thesis, the chanacteristics of organic thin film transistors(OTFTs) with surface modification layers and multiple nano channels were studied. First, the electrical characteristics of pentacene OTFTs on inorganic dielectrics(SiO2) and organic modification layers were studied. Then, The nano structures were fabricated on organic modification layers(PAK-01-200) by nono imprint technology. Following, pentacene was deposited on modified nano channel and the electrical character of OTFTs were studied. Beside, the aluminum gate were fabricated with the nano-multiple channels by nano-imprint and reactive ion etching(RIE). Finally, the channels of pentacene structure was investigated by scanning electron microscope(SEM) and atomic force microscopy (AFM). As the result, the nano channels with the various line width/space were fabricated successfully. The OTFTs with modification layer of OTS-C18 exhibited excellent electrical characteristics, including high mobility of above 0.38 cm2/Vs, on/off ratio above 107. Beside, the modification layer of PAK-01-200 with line/space width of 1:2 exhibited excellent electrical characteristics, including mobility of above 0.013 cm2/Vs, on/off ratio above 104.
Huang, Sin-ping, and 黃信評. "Multiple Nanowire Channel Polycrystalline Silicon Thin Film Transistor for RF Applications." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/d8um22.
Full text國立臺北科技大學
電腦與通訊研究所
102
We investigated RF TFT DC and high frequency performances with different gate layout design and different process condition in this thesis. First of all, by observing the changes of gate length and gate direct finger contact, we examined the electron parameter relation. After realizing the changing structure of high frequency performance to analyze how different gate structures affected the small signal parameter is necessary and to make high frequency performance optimal. After that we focused on how to improve RF TFT characteristics through different process condition. In a condition of the different anneal methods and silicide in the relation of electron parameter, this research analyzed DC and high frequency performances. By analyzing the influence of small signal parameter affected to different process condition, we observed high frequency characteristics when its process condition changed. During the process, the setting of RF TFT and fabrication process became optimal. In the process of measurement of transfer, the main parameters are threshold voltage, Subthreshold Swing, transconductance, and mobility. High-frequency measurements focused on the analysis of Cutoff frequency and maximum oscillation frequency and discussed important parameters. According to its result, this paper can provide a guideline for RF TFT design and fabrication in the future.
Shih, Ming-Chi, and 施銘奇. "Synthesis of Multiple Metal Oxide Thin Film and Discontinuous Cobalt and Manganese Oxide Thin Film to Enhance Electrocatalytic Oxygen Evolution Reaction." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/zh8w5d.
Full text國立中山大學
化學系研究所
107
Electrolysis of water is an ideal way of clean, sustainable energy. However, the oxygen evolution reaction (OER), a half reaction in water electrolysis, has a high theoretical potential barrier (1.23 V) and multiple electron transfer steps causing poor kinetics, low efficiency. The conventional noble metal oxide for OER (IrO2, RuO¬2) are rare and too expensive for large scale application. It is necessary to have an OER catalyst with low-cost, high catalytic efficiency, and long-term stability to break through the bottleneck. In this work, we improved the catalytic efficiency of cobalt and manganese oxide hydroxide thin film (CMOH) by two different strategies. The first method is synthesis of other metal oxide systems apart from just cobalt and manganese. We choose iron and nickel as the resource of metal ions, and successfully synthesize the iron and manganese, and nickel and manganese oxide thin film. Iron can also play an excellent dopant into CMOH thin film, a Co, Fe, Mn trimetallic thin film has an overpotential (ƞ) 345 mV at current density 10 mA·cm-2, reducing ƞ of 163 mV compare to CMOH thin film. The second method is dividing the continuous CMOH thin film to many small pieces, producing more interfaces containing the catalyst, conductive substrate, and the electrolyte, increasing the active sites and the activity of CMOH thin film. We then built a series of CMOH thin films with different continuity. That one with the maximum discontinuity has only 1/188 coverage area, but reduce a ƞ of 326 mV (at 30 mA·cm-2) and show a 56 times TOF increasing compare to the continuous one. It can also generate a highly current density of 330 mA·cm-2 and maintain its stability for 720 hours.. The result reveals that a both strategies can improve the intrinsic activity of CMOH, reduce the energy consume, and give a higher atomic efficiency in oxygen evolution, realizing a low-cost, high efficiency, and sustainable water electrolysis.
Lin, Li-Hsiu, and 林立修. "Fabrication of Multiple Nano-Channel Thin Film Transistors by Nano Imprint Technology." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/69495672973505183604.
Full text國立暨南國際大學
電機工程學系
96
In this thesis, the nano imprint technology was integrated with the traditional thin film transistor process. First, the multiple nano-channel thin film transistors was fabricated. The multiple nano-channel structures with the small line width and high density on polysilicon were fabricated by nano imprint technology. Then the traditional thin film transistor processes were following. The electrical characterization of multiple nano-channel TFTs with different channel structures were measured by HP4156 and HP4284. The nano imprinting mold with the line width about 100nm and aspect ratio about 3:1 was fabricated successfully. The multiple nano-channels with the line width/space about 50nm/100nm on polysilicon were also fabricated. The yield of the multiple nano-channel thin film transistors was about more than 90%. This technique will be suitable for the future TFTs on the flat panel display.
Liu, Yen-Bang, and 劉彥邦. "Fabrication of Nano-Multiple Channel for Organic Thin Film Transistors by Nanoimprint Technology." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/27052115822238427158.
Full text國立暨南國際大學
電機工程學系
99
The organic thin film transistors (OTFTs) have become the potential candidates for low-cost and flexible electronics application. We introduce a new strategy for controlling the in-plane orientation of pentacene molecules using nanometer-scale periodic groove patterns as an alignment layer. First, the ETFE molds with the line width about 100nm and aspect ratio about 1:2 were fabricated successfully. Then, the Al nano-grooves with the line/space of 100/500 nm were fabricated by UV nano imprint and reactive ion etching. Then the dielectrics parylene was deposited and the pentacene OTFTs were fabricated by thermal evaporation. The electrical characterizations of organic thin film transistors with different nano-channel structures were measured by HP4156, HP4284. This process will be suitable for the future roll-to-roll process for the flexible electronics.
Lin, Yao-Jun, and 林堯竣. "Determination of Thin Film Thickness and Refractive Index by Using Multiple Beam Interferometry." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/69420850297324910988.
Full text國立成功大學
機械工程學系碩博士班
93
In this article, the main purpose is that using multiple beam interferometry to produce the Fringe of Equal Chromatic Order (FECO) and then using FECO to determine the thickness and refractive index of the thin film. There are two methods to determine the thickness and refractive index of the thin film: one is symmetrical three-layer formula from Israelachvili, the other is multilayer matrix method and fast spectral correlation interferometry. In this article, I used the two methods respectively to analysis the FECO from the simulation or from the experiment and then to determine the thickness and refractive index of the thin film. And I compared the two methods and analysis the property of FECO. Finally, I developed a completed program. Let the results in this article to aid our experiments in surface force apparatus.
Chen, Chi-Shen, and 陳稚軒. "Fabrication and Characterization of Polysilicon Thin Film Transistors with Multiple Nano-wire Channels." Thesis, 2004. http://ndltd.ncl.edu.tw/handle/seydkc.
Full text國立交通大學
電子工程系所
92
We have studied the gate controllability of lightly-doped drain (LDD) polycrystalline silicon thin-film transistors (poly-Si TFTs) with multiple channels and different widths. We deserve that devices with an LDD structure exhibit low leakage current. Additionally, the poly-Si TFT (M10) with ten strips multiple nano-wire channels exhibits the best and the most stable electrical characteristics than all other structures we have studied, such as a higher ON/OFF current ratio (>108), a steeper subthreshold slope (SS, 110 mV/decade), an absence of drain-induced barrier lowering (DIBL), and a improved suppressed kink-effect. Experiments results show the gate controllability is increasing with channel number from single channel to ten strips multiple channels. The M10 TFT also shows the best stress characteristics, as Vth and SS of the M10 TFT remain constant before and after the stress. Devices with the proposed TFTs are highly promising for use in active-matrix liquid-crystal-display technologies without any additional processes.
Wu, Tai-hsuan, and 吳岱軒. "Impacts of Oxygen Plasma Induced Interfacial Layer on P-type Poly-Si Thin-Film Transistors With TiN/HfO2 Gate Stack." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/98877031270239718359.
Full text國立中山大學
電機工程學系研究所
104
With the development of integrated circuits, the transistors dimension has been scaling. In order to maintain the electrical behavior of transistors, miniature engineering faced many challenges. For example, reduced of gate control ability and increased of subthreshold swing. There are many ways to keep the transistors performance. One of the option is using high dielectric constant material instead of the traditional gate oxide layer. In the thesis, LTPS-TFTs are fabricated with Hafnia oxide gate dielectric and the impact of oxygen plasma induced interfacial layer on electrical behavior of P-type Poly-Si thin film transistors were investigated. First discuss the electrical behavior at room temperature. The transistors with oxygen plasma have less dangling bonds and more strain bonds, leading to smaller subthreshold swing and lower transconductance. The oxygen plasma will grow plasma-induced interfacial layer, it contains negative fix oxide charges, resulting in smaller threshold voltage. To study the effect of reliability, it was divided into negative bias stress, negative bias temperature instability and temperature effects. In the experiment, the traditional transistors have serious degradation in subthreshold swing. Since the dangling bonds generated on the surface of channel. The transistors with oxygen plasma occurred hole injection in HfO2, producing positive fix oxide charges.
Huang, Chien-Jen, and 黃建仁. "Fabrication of Multiple Nano-Channel SONOS Thin Film Transistors Memory by Nano Imprint Technology." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/18915701182485532743.
Full text國立暨南國際大學
電機工程學系
98
In this thesis, the multiple nano-channel SONOS thin film transistor memories were fabricated by combing UV nano imprint and conventional photolithography. The multiple nano-channels were fabricated by UV NIL and reactive ion etching. Then, the SONOS thin film transistor memories were fabricated. The electrical characteristics were measured by HP-4156, HP-4284, and Agilent B1500. In our results, the mold with the line/space width of about 100/50 nm and the nano-channel with the line/space width of about 70/70 nm were both fabricated successfully. The SONOS TFT memories with multiple nano-channels have higher on/off ratio (~3.6×107), lower threshold voltage (~0.6V), higher mobility (~42 cm2/V-sec), sharper sub-threshold swing (~270 mV/dec), larger memory window (1.7V), and faster program/erase efficiency than the SONOS with the simple channels. This technique will be suitable for the future SOP applications of the flat panel display.
Hu, Shih-Kuo, and 胡世國. "Development of Multiple Beam Interferometry Apparatus to Measure Thin Film Thickness and Refractive Index." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/76604651601997431860.
Full text國立成功大學
機械工程學系碩博士班
94
The material will show remarkable different characteristic and phenomenon in the nano scale. It has become unlackable to build and use precise measuring technology and system to understand and control the material characteristic. The surface force apparatus not only be used in the measurement of thin film thickness and refractive index but also in the analysis of mechanics. For example: surface adsorbability ,friction.Therefore,the surface force apparatus can be used as one of the important tools to research nano science and technology. In this article,the main purpose is to build model system of surface force apparatus that applied to measure the thickness and refractive index of thin film. The main method to analyze the Fringe of Equal Chromatic Order(FECO) produced from multiple beam interferometry is by using symmetrical three-layer formula from Israelachvili. In this article, I used multilayer matrix method to simulate FECO and comparing it with the FECO from the experiment. And I researched the factors that influencing the definition of FECO. Finally, let the results in this article to aid development in the surface force apparatus.
Hung, Bing-Fang, and 洪彬舫. "The Fabrication and Characterization of High Performance Low-Temperature Poly-Si Thin-Film Transistor with a Novel ONO Stack Gate Dielectric." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/49084341359017286770.
Full text國立交通大學
電子工程系
91
Traditionally, low temperature polycrystalline silicon thin-film transistors (LTPS TFTs) were fabricated with PECVD TEOS oxide or Si3N4 as gate insulator. However, the poly-Si TFT with such low quality dielectric films shows poor electric characteristics and reliability due to a large amount of defects and traps in the PECVD TEOS oxide or Si3N4 films. Therefore, a new low temperature process of making high-quality gate dielectric film is necessary for fabricating high performance low-temperature poly-Si TFTs. In this thesis, low-temperature poly-Si TFTs with a novel ONO stack dielectric structure (TEOS Oxide/Si3N4/N2O-plasma oxide) in-situ grown by plasma-enhanced chemical vapor deposition (PECVD) system were presented. The ONO stack gate dielectric structure is composed of bottom layer N2O-plasma grown 30Å ultrathin oxide, middle layer 400Å nitride film and top layer 70Å TEOS oxide. It is found that low-temperature poly-Si TFTs with a novel ONO stack dielectric structure have superior electrical properties, more remarkable reliability and lower interface trap density than traditional TEOS oxide ones. These improvements were attributed to the high quality N2O-plasma grown ultrathin oxide forming smoother surface and strong Si≡N bonds at the oxide/polysilicon interface. In the other hands, N2O plasma passivation effect induces large amount of nitrogen and oxygen atoms passivated the traps in the polysilicon grain boundaries. Furthermore, the middle layer nitride film which has higher dielectric constant than silicon dioxide results in promoting the driving current of poly-Si TFTs. However, the nitride/poly-Si gate interface was not so good as respected and thick nitride film maybe includes a large amount of defects. So we deposited a thin 70Å-thick TEOS oxide as upper layer in our novel ONO structure to improve the interface between gate dielectric and poly-Si gate. In the other way, we further utilized ammonia (NH3) plasma passivation method to promote electrical characteristics of poly-Si TFTs. NH3-plasma passivation can improve enormously the poly-Si TFTs performances, particularly in carrier mobility, on/off current ratio, and reliability. The improvement can be attributed to the nitrogen pile-up at gate dielectric/poly-Si interface and the strong Si-N/Si-H bond formation to terminate the dangling bonds at the grain boundaries of the polysilicon films. In order to further promote the device electrical characteristics, we used the ONO stack gate dielectric on new recessed-channel structure TFTs (RC-TFTs). This recessed-channel structure was formed by the thick source/drain regions and the thin channel region. The advantage of RC-TFTs structure is the lag of solidification in thin regions generates lateral thermal gradients and urging grains to grow longitudinally from the thick S/D regions to the thin channel region along the channel when using excimer laser crystallization to recrystallize the ploy-Si films. This kind of grain configuration improves the field effect mobility and results in promoting the device driving current. In addition, since the thickness of source/drain regions can be made thicker, the series resistance of these devices will not increase significantly, and device performance can be further improved.
Ko, Chin Cheng, and 柯志晟. "Fabrication and Investigation of Multiple Gate Polycrystalline Thin-Film Transistors Combined with Nonvoltile SONOS Memory." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/14484536249411221449.
Full text國立清華大學
光電工程研究所
95
In this thesis, we proposed the thin film transistor with multi-layered gate dielectric and multiple nanowire channel combined with Pi gate structure, this structure has the high performance in thin film transistor characteristic. On the other hand, also simultaneously has the non-volatile memory characteristic. In the thin film transistor aspect, we employ the thin film transistor with multiple nanowire channel combined with Pi gate structure, in the same gate pole bias situation, it enhanced the gate extremely control ability; In multiple nanowire channel aspect, because the radius of curvature in the edge is smaller. Under the same gate voltage, it has characteristic of the larger electric field; In Pi gate structure aspect, because the Pi gate structure may control extremely the effective channel width and the channel edge number are more. Under the same gate voltage, it has more carries in the channel and the more high electric field edge characteristic. The thin film transistor with multiple nanowire channel combined with Pi gate structure was manufactured, it may effective reduce the threshold voltage, increases the switch current ratio (On/Off ratio), the steeper subthreshold slope, and the superior driving ability. Its electric properties is ordinary the standard structure the thin film transistor for good. In non-volatile memory aspect, because the Pi gate structure has the larger extremely effective channel width with the more high electric field edge in nanowire channel, it may have the high efficiency when carries on Program/Erase operation. On the other hand, the non-volatile memory characteristics with multiple nanowire channel combined with Pi gate structure, however in the material durable (Reliability) aspect, perhaps the read-write (Endurance) aspect, has the good device reliability. The thin film transistor with multi-layered gate dielectric and multiple nanowire channel combined with Pi gate structure has the enormous potential application extremely on the system on plane conformity. The use of the thin film transistor multi-layered gate dielectric and multiple nanowire channel combined with Pi gate structure simultaneously has the extremely high the driving efficiency and the non-volatile memory characteristic, it may the large simplification system manufacture step.
Chang, Chun-Chin, and 張竣欽. "Line Width Roughness Optimization of A Multiple Layer Thin Film Structure by Reactive Ion Etch." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/jw8a87.
Full text國立交通大學
光電科技學程
103
Implementation of TiN hard mask for copper/ultra low-k interconnect is the standard technique for back end of line (BEOL) integration. Compared with the photo resist (PR) mask approach, the metal hard mask (MHM) approach has the advantages of lower stack-to-mask ratio and better etch selectivity. In addition, metal hard mask minimizes plasma induced low-k damage during low-k dual damascene etch. As device node reach 28nm and beyond, line width roughness (LWR) or line edge roughness (LER) control become a big challenge because LWR of gate directly affects Ion/Ioff property in logic devices, and affects Vth variation directly in memory devices[1-3]. In this study will put focus on different chemistry to improve LWR and control space CD with RIE tool in TiN hard mask approach.
"Formation of a cross-linked thin film with multiple functional groups using low energy hydrogen ions." 2004. http://library.cuhk.edu.hk/record=b5892019.
Full textThesis (M.Phil.)--Chinese University of Hong Kong, 2004.
Includes bibliographical references.
Text in English; abstracts in English and Chinese.
Lau Wai Cheung = Yi di neng qing li zi xing cheng ju duo guan neng tuan de jiao lian ju he wu bo mo / Liu Huizhang.
Abstract --- p.ii
Abstract (Chinese) --- p.iii
Acknowledgements --- p.iv
Table of Contents --- p.v
List of Figures --- p.xi
List of Tables --- p.xv
Chapter CHAPTER 1 --- INTRODUCTION --- p.1
Chapter 1.1 --- Background --- p.1
Chapter 1.1.1 --- Polymer properties --- p.1
Chapter 1.1.2 --- Polymer films --- p.2
Chapter 1.2 --- Basic Idea of the study --- p.3
Chapter 1.2.1 --- Previous works --- p.3
Chapter 1.2.2 --- Related works --- p.4
Chapter 1.2.3 --- Computational analysis --- p.5
Chapter 1.2.4 --- Present studies --- p.7
Chapter 1.3 --- Polymer surface modification techniques --- p.7
Chapter 1.4 --- Preparation of cross-linked films --- p.9
Chapter 1.4.1 --- Preparation of films --- p.9
Chapter 1.4.2 --- Treatment of films --- p.10
Chapter 1.4.3 --- Formation of the polymer network on films --- p.10
Chapter 1.5 --- Analysis methods of films --- p.12
Chapter 1.5.1 --- Film analysis by XPS --- p.12
Chapter 1.5.2 --- Film analysis by AFM --- p.14
Chapter 1.6 --- Polymer films with desired functionalities --- p.15
Chapter 1.6.1 --- Film properties with desired functionalities --- p.15
Chapter 1.6.2 --- Films with hydroxyl and carboxyl functionalities --- p.16
Chapter 1.6.3 --- Films with mixed functionalities --- p.17
Chapter 1.7 --- Goal of the present study --- p.17
Chapter 1.7.1 --- Objective of this thesis --- p.17
Chapter 1.7.2 --- Possible applications --- p.18
Chapter 1.8 --- References for Chapter1 --- p.19
Chapter CHAPTER 2 --- EXPERIMENTATION --- p.24
Chapter 2.1 --- Introduction --- p.24
Chapter 2.2 --- Sample preparation --- p.24
Chapter 2.2.1 --- Preparation of polymer solutions --- p.24
Chapter 2.2.2 --- Preparation of cleaned surfaces --- p.25
Chapter 2.2.3 --- Spin coating --- p.26
Chapter 2.2.4 --- Confirmation of Polymer network --- p.26
Chapter 2.3 --- Low Energy Ion Beam (LEIB) system --- p.27
Chapter 2.3.1 --- Principle --- p.27
Chapter 2.3.2 --- Function of each component --- p.31
Chapter 2.3.2.1 --- Ion source --- p.31
Chapter 2.3.2.2 --- Einzel focusing lenses --- p.31
Chapter 2.3.2.3 --- Deflectors --- p.32
Chapter 2.3.2.4 --- Wien Filter --- p.32
Chapter 2.3.2.5 --- Decelerator --- p.35
Chapter 2.3.2.6 --- Target chamber and dose estimation --- p.35
Chapter 2.4 --- X-ray Photoelectron Spectrometer (XPS) --- p.36
Chapter 2.4.1 --- Principle --- p.36
Chapter 2.4.2 --- Qualitative analysis --- p.37
Chapter 2.4.2.1 --- Survey spectrum --- p.37
Chapter 2.4.2.2 --- Core level spectrum --- p.38
Chapter 2.4.2.3 --- Valence band spectrum --- p.38
Chapter 2.4.2.4 --- Line shifts --- p.39
Chapter 2.4.2.5 --- Lineshapes --- p.39
Chapter 2.4.3 --- Quantitative Analysis --- p.40
Chapter 2.4.3.1 --- Atomic concentration --- p.40
Chapter 2.4.3.2 --- Layer thickness --- p.40
Chapter 2.4.3.3 --- Curve fitting --- p.41
Chapter 2.5 --- Ultrahigh Vacuum (UHV) System --- p.42
Chapter 2.6 --- Other instruments --- p.42
Chapter 2.7 --- References for Chapter2 --- p.43
Chapter CHAPTER 3 --- POLY (ACRYLIC ACID) BOMBARDMENT BY LOW ENERGY H+ IONS --- p.45
Chapter 3.1 --- Basic modeling and analysis method --- p.45
Chapter 3.1.1 --- Peak fitting before bombardment --- p.45
Chapter 3.1.2 --- Analysis of PVA surface after bombardment --- p.47
Chapter 3.1.2.1 --- Peak fitting after bombardment --- p.47
Chapter 3.1.2.2 --- Mechanism of PAA during bombardment --- p.48
Chapter 3.1.2.3 --- Identification of the new component after bombardment --- p.50
Chapter 3.2 --- Surface reaction with impact energy of 10 eV --- p.52
Chapter 3.2.1 --- Cross-linking with different doses --- p.52
Chapter 3.2.2 --- Effect of surface functionality with different ion doses --- p.57
Chapter 3.3 --- Surface reaction with different impact energies --- p.59
Chapter 3.3.1 --- Cross-linking with different impact energies --- p.59
Chapter 3.3.2 --- Effect on surface functionality with different impact energies --- p.64
Chapter 3.4 --- Surface reaction with impact energy of 1 eV --- p.66
Chapter 3.4.1 --- Formation of a cross-linked polymer network using PAA --- p.66
Chapter 3.4.2 --- Effect of surface functionality with different ion doses --- p.68
Chapter 3.5 --- Chapter summary --- p.70
Chapter 3.6 --- References for Chapter3 --- p.71
Chapter CHAPTER 4 --- THE MECHANISM OF POLY (ACRYLIC ACID) BOMBARDMENT --- p.72
Chapter 4.1 --- Surface reaction of PAA after bombardment --- p.72
Chapter 4.1.1 --- Introduction --- p.72
Chapter 4.1.2 --- Formation of ester group --- p.73
Chapter 4.1.3 --- Loss of carbon dioxide --- p.73
Chapter 4.1.4 --- Regeneration of carboxylic acid --- p.74
Chapter 4.2 --- Analysis of the surface after bombardment --- p.74
Chapter 4.2.1 --- Loss of oxygen --- p.74
Chapter 4.2.2 --- Remaining un-reacted carboxyl acid --- p.75
Chapter 4.3 --- Chapter summary --- p.80
Chapter 4.4 --- References for Chapter4 --- p.81
Chapter CHAPTER 5 --- POLY (VINYL ALCOHOL) BOMBARDMENT AND MECHANISM BY LOW ENERGY H+ IONS --- p.82
Chapter 5.1 --- Basic modeling and analysis method --- p.82
Chapter 5.1.1 --- Peak fitting before bombardment --- p.82
Chapter 5.1.2 --- Analysis of PVA surface after bombardment --- p.84
Chapter 5.1.2.1 --- Peak fitting after bombardment --- p.84
Chapter 5.1.2.2 --- Mechanism of PVA during bombardment --- p.85
Chapter 5.1.2.3 --- Identification of the new component after bombardment --- p.86
Chapter 5.2 --- Surface reaction of PVA after bombardment --- p.88
Chapter 5.2.1 --- Formation of a cross-linked polymer network using PVA --- p.88
Chapter 5.2.2 --- Effect of dosage on the surface functionality of PVA at 10eV bombardment --- p.89
Chapter 5.2.3 --- Remaining un-reacted hydroxyl group --- p.92
Chapter 5.3 --- Chapter summary --- p.96
Chapter 5.4 --- References for Chapter5 --- p.97
Chapter CHAPTER 6 --- CONTROLLED FABRICATION OF POLYMER THIN FILMS WITH MULTIPLE FUNCTIONAL GROUPS --- p.98
Chapter 6.1 --- Introduction --- p.98
Chapter 6.2 --- Hydrogen bonding effect --- p.99
Chapter 6.3 --- Analysis of mixed PVA and PAA before bombardment --- p.101
Chapter 6.2.1 --- Peak fitting before bombardment --- p.101
Chapter 6.2.2 --- Quantitative analysis before bombardment --- p.103
Chapter 6.4 --- Analysis of mixed PVA and PAA after bombardment --- p.104
Chapter 6.4.1 --- Peak fitting after bombardment --- p.104
Quantitative analysis after bombardment --- p.107
Chapter 6.4 --- Chapter summary --- p.110
Chapter CHAPTER 7 --- CONCLUSION --- p.111
Chapter 7.1 --- Summary --- p.111
Chapter 7.2 --- Future works --- p.112
Hsu, Hsing-Hui, and 徐行徽. "Fabrication and Analysis of Novel Multiple-Gated Poly-Si Nanowire Thin-Film Transistors and Their Applications." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/29202685479166527897.
Full text國立交通大學
電子研究所
100
Various multiple-gated (MG) polycrystalline silicon nanowire (poly-Si NW) thin-film transistors (TFTs) are fabricated and analyzed. The proposed NWTFT schemes utilize very simple and low-cost NW preparation techniques, including “side-wall spacer etching” and “cavity formation and filling”, to fabricate poly-Si NWs in a self-aligned manner. Detailed characteristics of the MG NWTFT devices and their potential applications involving non-volatile memory (NVM) and chemical sensors are also studied and demonstrated. For NW devices fabricated by the side-wall spacer etching technique, the characteristics of the poly-Si NWTFT featuring an independent double-gated (DG) configuration are analyzed and compared. It is found that the device under DG mode exhibits significantly better performance with respect to the two single-gated (SG) modes in terms of a higher current drive over the combined sum of the two SG modes and a smaller subthreshold swing (SS) of less than 100 mV/dec. Origins of such improvement are identified to be due to the elimination of the back-gate effect as well as an enhancement in the effective mobility with DG operation. The mobility improvement in DG mode is ascribed to more efficient gate control in lowering the grain-boundary potential barrier. Moreover, the VTH fluctuation behavior of poly-Si NWTFTs is also investigated and studied. The defects existing in the NW channels are identified as one of the major sources for the VTH fluctuation. The passivation of these defects by plasma treatment is shown to be effective in reducing the VTH fluctuation. It is also found that the fluctuation is closely related to the operation modes. When only one of the gates is employed as the driving gate to control the device’s switching behavior, an optimum bias for the other gate could be found for minimizing the VTH fluctuation. On the development of new NW preparation method, the cavity formation and filling technique is proposed, which enables the fabrication of poly-Si NW without resorting to advanced lithographic tools. The tri-gated poly-Si NWTFTs built on this novel technique show steep SS of around 100 mV/dec and ON/OFF current ratio higher than 108, signifying the effectiveness of MG scheme in improving the device performance. Furthermore, a clever scheme based on cavity formation and filling technique allowing fabricating test structures with identical NW channel but different gate configurations is also proposed, and the impact of MG configurations on the variation of NWTFTs characteristics is also investigated. The results show the variation is reduced by increasing the portion of NW channel surface that is modulated by the gate. As regards NVM applications, we demonstrate the poly-Si NW-SONOS devices using the cavity formation and filling technique. Three types of devices having various gate configurations, namely, side-gated (SG), Ω-shaped gated (ΩG) and gate-all-around (GAA), are successfully fabricated and characterized. The experimental results show that much improved transfer characteristics are achieved with the GAA devices as compared with the other types of devices. Moreover, GAA devices also exhibit the best memory characteristics among all splits, including the fastest P/E efficiency, largest memory window and best endurance/retention characteristics. Finally, the feasibility of the novel independent DG NW sensing device scheme featuring an extended sensing gate (SENSE-gate) and a READ-gate for various sensing applications is explored. This scheme takes advantages of extended-gate ion-sensitive FET’s (EGFET) effective isolation of device from chemical and biological environment, and NWFET’s good switching properties. The preliminary study using this novel sensing device for pH sensing, gas sensing and bio-molecules detection is presented and described. In addition, owing to the use of extended-gate structure, this novel sensing scheme exhibits more stable and reliable electrical characteristics during the stressing test in aqueous solution as compared with those of NW sensor devices having poly-Si NWs exposed to the ambient.
Ji, Jing, and 季婧. "Impacts of Oxygen Plasma Surface Treatment on Performance and Reliability of N-type Poly-Si Thin-Film Transistors With TiN/HfO2 Gate Stack." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/91222248664474587001.
Full text國立中山大學
電機工程學系研究所
104
The application of polysilicon thin-film transistors in active matrix liquid crystal displays has been the main driver of the development of polysilicon thin-film transistors technology. The conventional poly-Si TFTs with SiO2 gate dielectric has been scaling down to meet the requirements of high performance, but hard to achieve this goal. Using high-κ materials as gate dielectric layer can improve gate capacitance density and induce more carriers to enhance the device characteristics. Among the high-κ materials, HfO2 is a promising alternative to be the gate dielectric. On the other side, there could be defects in the grain boundary of poly-Si channel film, which would capture carriers to form potential barrier and affect device performance. Oxygen plasma surface treatment is capable of passivating these defects and improving the gate dielectric/poly-Si interface quality. In this paper, impacts of oxygen plasma surface treatment on performance and reliability of n-type poly-Si thin-film transistors with TiN/HfO2 gate stack have been researched: To study the impact of oxygen plasma surface treatment on performance of n-type poly-Si thin-film transistors with TiN/HfO2 gate stack, measurements of the transfer characteristics and output characteristics have been performed on HfO2 poly-Si N-type TFTs of various channel length without and with O2 plasma surface treatment at room temperature. Enhancement of device performance has been observed through all the channel length of 20μm, 10μm, 5μm, 2μm and 1μm with O2 plasma surface treatment. To study the impact of oxygen plasma surface treatment on reliability of n-type poly-Si thin-film transistors with TiN/HfO2 gate stack, measurements of the transfer characteristics and output characteristics have been performed on HfO2 poly-Si N-type TFTs of W/L = 100m/10m without and with O2 plasma surface treatment at the temperature of 125℃, the PBTI stress condition being set as VOC = VG-VTH = 5V, 6V, 7V. The PBTI degradation characteristics have been observed, while the O2 plasma surface treatment has reduced the degradation and enhanced the device reliability.
Semmler, Egmont [Verfasser]. "Characterization of multiple frequency driven capacitively coupled plasmas for ferro metallic thin film sputter deposition / Egmont Semmler." 2008. http://d-nb.info/992046262/34.
Full textChen, Chien-chih, and 陳建志. "Using Fast Spectral Correlation Method to Measure Thin Film Thickness and Refractive Index in Multiple Beam Interferometry." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/34664766677449832796.
Full text國立成功大學
機械工程學系碩博士班
97
In this article, the main purpose is to establish a program based on fast spectral correlation method which can analyze the fringe of equal chromatic order (FECO) in multiple beam interferometry. The symmetrical three-layer formula only can be used in symmetrical three-layer multiple beam interferometry and have a limit of measurability of thin film thickness with 200nm. Fast spectral correlation method has no measurement restriction of thin film thickness and can be used in asymmetrical or symmetrical multi-layer interferometry. In the research, I used fast spectral correlation method to analyze the simulated FECO images of symmetrical three-layer and asymmetrical types which is based on multilayer matrix method, and had an average error percentage less than 1% in analysis. On the other hand, I set up symmetrical three-layer and asymmetrical interferometry and compare the diversity according to the experimental FECO images. Finally, let the results in this article extend the measurement capability of the surface force apparatus.
Motyka, Michael A. Lakhtakia A. "Multiple trains of same-color surface plasmon-polaritons guided by the planar interface of a metal film and a sculptured nematic thin film." 2009. http://etda.libraries.psu.edu/theses/approved/WorldWideIndex/ETD-4036/index.html.
Full text葉雅王亭. "Investigation of Probing E-Field inside the thin-film ZnO on Al2O3 Substrate Using X-ray Multiple Diffraction." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/ytjb37.
Full textLin, Zer-Ming, and 林哲民. "An Investigation on the Characteristics and Applications of Novel Multiple-Gated Inversion-Mode and Junctionless Polycrystalline Silicon Nanowire Thin-Film Transistors." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/54336358781209484404.
Full text國立交通大學
電子研究所
100
In this dissertation, we study the characteristics of independent double-gated (IDG) polycrystalline silicon (poly-Si) nanowire (NW) thin-film transistors (TFTs) with focus on the physical mechanism responsible for the superior output current under double-gated (DG) mode of operation over that under single-gated (SG) mode at a small drain voltage. The experimental data and theoretical analysis identify that the root cause is related to the more efficient modulation of grain boundary barrier height of poly-Si channels under DG mode of operation. On the other hand, the feasibility of applying such IDG scheme to silicon–oxide–nitride–oxide–silicon (SONOS) flash memory is also explored. Two different read modes can be adopted in such IDG poly-Si NW SONOS device with the two independent control gates. Special attention is paid to the analysis of memory window under different read modes. The fabricated device has oxide and oxide-nitride-oxide (ONO) stack as dielectrics for the two independent gates, respectively. Our analysis and experimental data show that a larger memory window is obtained when the gate with ONO stack as dielectrics is used as the driving gate. Moreover, the memory window of this mode is essentially independent of the bias applied to the auxiliary gate. Based on this finding, several novel IDG Flash structures with undoped or heavily doped silicon channels and a common auxiliary gate are proposed. Next, based on the measured I-V characteristics of gate-all-around (GAA) poly-Si NW junctionless (J-less) TFTs, we develop a methodology capable of extracting the values of active doping concentration, mobility, and interface fixed charge density of the in situ phosphorous-doped poly-Si NW channel. The experimental results show lower value of active doping concentration as compared to that obtained from Hall measurements performed on blanket thin films. Moreover, a highly negative interface oxide fixed charge density is found as well. These measured properties of the heavily doped poly-Si NWs are compared with the results of C-V measurements performed on planar J-less transistors with an ultra-thin channel. Close agreement is obtained between these two schemes. Segregation of phosphorous at gate oxide/NW interface is postulated to be the responsible mechanism for the observed phenomena. In this dissertaton, we also develop an analytical model of threshold voltage (Vth) and subthreshold current for DG J-less transistors by solving the two-dimensional Poisson’s equation. The Vth roll-off effect of DG J-less transistors with channel length from 100 to 22 nm can be well described through the proposed model as the channel thickness is thinned to 8 nm. In addition, we also propose a new asymmetric n-channel SB transistor (ASSBT) which features SB only on the source side, while the channel and drain are both n+ doped. Our calculation results show that such a device exhibits unipolar behavior and low off-state leakage current owing to the elimination of SB originally presenting on the drain side. However, aggravated subthreshold swing (SS) in the pseudo-subthreshold region and degraded output current are found because of the SB source. Based on the calculation results, the aggravated SS can be improved by thinning the thickness of silicon channel or gate oxide. A modified form of scaling length (λ) concept is introduced to describe the impacts of structural parameters and gate configurations on the SS characteristics in the pseudo-subthreshold region. Finally, we report, for the first time, an abnormal phenomenon showing sub-60 mV/dec SS found in GAA inversion-mode (IM) poly-Si NW TFTs at room temperature. The responsible physical mechanism related to the trapping of excessive holes generated by the high trap-assisted band-to-band tunneling (BTBT) current and later recombination of these trapped holes when operating in the subthreshold regime is suggested. Moreover, the experimental data also indicate that such an unexpected phenomenon is relieved or even negligible with the decrease of channel length or the replacement of GAA configuration by a single-gated one.