Academic literature on the topic 'Plasma etch residue'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Plasma etch residue.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Plasma etch residue"

1

Lee, Hong-Ji, Che-Lun Hung, Chia-Hao Leng, Nan-Tzu Lian, Ling-Wu Young, Tahone Yang, Kuang-Chao Chen, and Chih-Yuan Lu. "Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching." International Journal of Plasma Science and Engineering 2008 (September 23, 2008): 1–5. http://dx.doi.org/10.1155/2008/154035.

Full text
Abstract:
This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275∘C. An in situ O2-based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micromasking formed on the opening of TiN during the hard-mask patterning. We report that an additional TiN surface pretreatment with the Ar/CHF3/N2 plasmas could reduce the impact of the micromasking residues on blocked metal etch.
APA, Harvard, Vancouver, ISO, and other styles
2

Cazes, M., Christian Pizzetti, Jerome Daviot, Philippe Garnier, Lucile Broussous, Laurence Gabette, and Pascal Besson. "Customized Chemical Compositions Adaptable for Cleaning Virtually all Post-Etch Residues." Solid State Phenomena 282 (August 2018): 121–25. http://dx.doi.org/10.4028/www.scientific.net/ssp.282.121.

Full text
Abstract:
A post-etch residue cleaning formulation, based on balancing the aggressiveness of hydrofluoric acid with its well-known residue removal properties is introduced. In a series of investigations originally motivated by the cleaning challenge provided by high-k dielectric-based residues, a formulation platform is developed that successfully cleans residues resulting from the plasma patterning of tantalum oxide and similar materials while maintaining metal and dielectric compatibility. It is further shown that the fundamental advantages of this solution can be extended to the cleaning of other, more traditional post-etch residues, with no sacrifice in compatibility, as demonstrated by measurements on blanket films and through SEM data.
APA, Harvard, Vancouver, ISO, and other styles
3

Thanu, D. P. R., S. Raghavan, and M. Keswani. "Post Plasma Etch Residue Removal in Dilute HF Solutions." Journal of The Electrochemical Society 158, no. 8 (2011): H814. http://dx.doi.org/10.1149/1.3597618.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Ferstl, Berthold, Andreas Klipp, Manfred Essig, and Maria Heidenblut. "Impact of Dry Etch and Ash Conditions on Removability of Plasma Etch Residues in Al-Metallization. Approach to Improve PER Cleaning Efficiency by EHS-Friendly Aqueous Remover." Solid State Phenomena 145-146 (January 2009): 353–56. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.353.

Full text
Abstract:
Driven by cost saving pressure and new regulations such as REACH, the imple¬mentation of cost efficient, EHS-friendly alternatives is in full swing. There is a clear trend in the plasma etch residue (PER) cleaning field to replace the currently used, expensive and harmful organic PER-removers by EHS-friendly aqueous alternatives. Striking advantages of fluorine free aqueous removal are reported1. The goals of present work -which was carried out in cooperation of BASF and Infineon-, was to examine the dependence of residue constitution on etch and strip conditions and to find a way to adapt also most critical cleaning challenges for EHS-friendly PER remover usage. For that reason a selected aluminum interconnect metal layer with difficult removable residues was chosen. XPS is well proved as an appropriate method for selective sidewall and top/bottom residue analysis2. Our analytical work was focused on defining fingerprints for the etch residues, concerning their elemental distribution, and tracking their changes during the different process steps and conditions.
APA, Harvard, Vancouver, ISO, and other styles
5

Le, Quoc Toan, Els Kesters, I. Hoflijk, T. Conard, M. Shen, S. Braun, Y. Burk, and Frank Holsteyns. "Characterization of Etch Residues Generated on Damascene Structures." Solid State Phenomena 255 (September 2016): 227–31. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.227.

Full text
Abstract:
For patterned TiN/silicon oxide/low-k dielectric stack, fluorinated etch residues were detected on the TiN surface, the dielectric sidewall and bottom, regardless of the low-k material used in the stack. XPS results showed that they consisted of polymer-based (CFx) residues deposited on trench sidewall and bottom, and metal-based (TiFx) residues mainly deposited on top surface. In terms of post-etch residue removal, the efficiency of various wet clean solutions can be clearly distinguished for CFx, and TiFx using the same patterned porous low-k stack. These results also demonstrate that the removal of both TiFx and CFx residues generated during the plasma is possible in one step with optimized chemical and process.
APA, Harvard, Vancouver, ISO, and other styles
6

Ahner, Nicole, Sven Zimmermann, Matthias Schaller, and Stefan E. Schulz. "Determination of Surface Energy Characteristics of Plasma Processed Ultra Low-K Dielectrics for Optimized Wetting in Wet Chemical Plasma Etch Residue Removal." Solid State Phenomena 195 (December 2012): 110–13. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.110.

Full text
Abstract:
The integration of porous ultra low dielectric constant materials (ULK) for isolation within the interconnect system of integrated circuits is a promising approach to reduce RC-delays and crosstalk due to shrinking feature sizes [1]. Actually the focus is on porous CVD-SiCOH materials, which consist of a Si-O-Si backbone and organic species (e.g. CH3) to lower polarizability and prevent moisture uptake to remarkably decrease the k-value [2]. The integration of porous low-k materials is very challenging, especially looking at patterning, resist stripping and etch residue removal, where commonly plasma processing has been applied. But plasma processing of ULK materials, especially using oxygen plasmas, is known to degrade electrical, optical and structural material properties by removing carbon from the film and densification of the surface near areas of the ULK [5]. Carbon depletion may also lead to the incorporation of-OH groups, which easily form silanols and therefore increase moisture absorption and k-values [2]. Besides the development of nondamaging plasma processes, wet cleaning is a promising alternative to avoid ULK damage while removing organic plasma etch residues. Additionally wet cleaning steps are always necessary to remove inorganic residues, which do not form volatile reaction products and can therefore not be removed by plasma processing.
APA, Harvard, Vancouver, ISO, and other styles
7

Kleemeier, W., V. Leon, and S. Graham. "Plasma Etch Residue and Photoresist Removal Utilizing Environmentally Benign Process Chemicals." Solid State Phenomena 65-66 (November 1998): 143–52. http://dx.doi.org/10.4028/www.scientific.net/ssp.65-66.143.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Myneni, Satyanarayana, and Dennis W. Hess. "Post-Plasma-Etch Residue Removal Using CO[sub 2]-Based Fluids." Journal of The Electrochemical Society 150, no. 12 (2003): G744. http://dx.doi.org/10.1149/1.1621879.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Le, Quoc Toan, F. Drieskens, T. Conard, M. Lux, J. F. de Marneffe, H. Struyf, and G. Vereecke. "Modification of Post-Etch Residues by UV for Wet Removal." Solid State Phenomena 187 (April 2012): 207–10. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.207.

Full text
Abstract:
In back-end of line processing, the polymer deposited on the dielectric sidewalls during the etch must be removed prior to subsequent processing steps to achieve high adhesion and good coverage of materials deposited in the etched features [1,. Typically, this is done by a combination of short plasma treatment and diluted wet clean, or by wet cleans alone. On the one hand, for porous dielectric stacks, a mild plasma treatment that preserves the integrity of the low-k dielectrics would not be sufficient to efficiently remove this residue. Furthermore, aqueous cleaning solutions is not efficient to achieve a complete removal without etching the underlying layer. Hence appropriate wet clean chemistries are needed to dissolve/decompose these polymers without etching the dielectric. On the other hand, analytical techniques available for direct characterization of sidewall polymer are limited. For a fast screening of potential chemistries capable of dissolving/removing polymer residues generated during the low-k etch, a fluoropolymer deposited on a blanket, checkerboard low-k substrate was used as a model polymer. In our recent study [, using X-ray photoelectron spectroscopy (XPS), it was shown that the polymer was composed of CF, CF2, and CF3 groups. This model polymer was found to be very similar to the polymer residue generated during the etch of the low-k stack using similar plasma. The present study mainly focused on the effect of UV treatment and the concentration of active component in wet clean solution on the structure change of the polymer and the enhancement of polymer removal.
APA, Harvard, Vancouver, ISO, and other styles
10

Jung, Chung Kyung, Sung Wook Joo, Sang Wook Ryu, S. Naghshineeh, Yang Lee, and Jae Won Han. "Improved Cleaning Process for Etch Residue Removal in an Advanced Copper/Low-k Device without the Use of DMAC (Dimethylacetamide)." Solid State Phenomena 187 (April 2012): 245–48. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.245.

Full text
Abstract:
Plasma dry etching processes are commonly used to fabricate sidewalls of trenches and vias for copper / low-k dual damascene devices. Typically, some polymers remain in the trench and at the via top and sidewall. Other particulate etch residues are may remained in the bottom and on the sidewalls of vias. Generally, the particulate consists of mixtures of copper oxide with polymers. The polymers on the sidewalls and the particulate residues at the bottom of vias must be removed prior to the next process step. Small amounts of polymer are intentionally left on the sidewalls of trenches and vias during the etching in order to achieve a vertical profile and to protect the low-k materials under the etching mask. Until now, the industry has relied mainly on organic solvent containing mixtures to clean etch / ash residues from such devices. The effectiveness of available residue removers varies with the specific process and also depends on which new integration materials are used. New materials typically include Cu, TaN, low-k dielectrics and others [1-. Solvent content is thought to aid the removal of polymer residues and particulates produced during plasma dry etching processes. Therefore, in the past we have used a residue remover which contains DMAC (dimethylacetamide). But the use of DMAC is banned in microelectronic fabrication facilities in Europe because of its toxicity. Thus we wanted to find and evaluate a DMAC-free residue remover for removing polymer residues while maintaining high selectivity to the copper and ILD films.
APA, Harvard, Vancouver, ISO, and other styles
More sources

Dissertations / Theses on the topic "Plasma etch residue"

1

Myneni, Satyanarayana. "Post Plasma Etch Residue Removal Using Carbon Dioxide Based Fluids." Diss., Georgia Institute of Technology, 2004. http://hdl.handle.net/1853/7605.

Full text
Abstract:
As feature sizes in semiconductor devices become smaller and newer materials are incorporated, current methods for photoresist and post plasma etch residue removal face several challenges. A cleaning process should be environmentally benign, compatible with dielectric materials and copper, and provide residue removal from narrow and high aspect ratio features. In this work, sub-critical CO2 based mixtures have been developed to remove the etch residues; these mixtures satisfy the above requirements and can potentially replace the two step residue removal process currently used in the integrated circuit (IC) industry. Based on the chemical nature of the residue being removed, additives or co-solvents to CO2 have been identified that can remove the residues without damaging the dielectric layers. Using the phase behavior of these additives as a guide, the composition of the co-solvent was altered to achieve a single liquid phase at moderate pressures without compromising cleaning ability. The extent of residue removal has been analyzed primarily by x-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Various techniques such as attenuated total reflection - Fourier transform infrared (ATR-FTIR) spectroscopy, angle-resolved XPS (ARXPS), and interferometry were used to probe the interaction of cleaning fluids with residues. Model films of photoresists and plasma deposited residues were used to assist in understanding the mechanism of residue removal. From these studies, it was concluded that residue removal takes place primarily by attack of the interface between the residue and the substrate; a solvent rinse then lifts these residues from the wafer. It has been shown that transport of the additives to the interface is enhanced in the presence of CO2. From positronium annihilation lifetime spectroscopy (PALS) studies on a porous dielectric film, it has been shown that these high pressure fluids do not cause significant changes to the pore sizes or the bonding structure of the film. Hence, this method can be used to remove post etch residues from low-k dielectric films.
APA, Harvard, Vancouver, ISO, and other styles
2

Mukherjee, Tamal. "Investigation of Post-Plasma Etch Fluorocarbon Residue Characterization, Removal and Plasma-Induced Low-K Damage for Advanced Interconnect Applications." Thesis, University of North Texas, 2016. https://digital.library.unt.edu/ark:/67531/metadc849649/.

Full text
Abstract:
Modern three-dimensional integrated circuit design is rapidly evolving to more complex architecture. With continuous downscaling of devices, there is a pressing need for metrology tool development for rapid but efficient process and material characterization. In this dissertation work, application of a novel multiple internal reflection infrared spectroscopy metrology is discussed in various semiconductor fabrication process development. Firstly, chemical bonding structure of thin fluorocarbon polymer film deposited on patterned nanostructures was elucidated. Different functional groups were identified by specific derivatization reactions and model bonding configuration was proposed for the first time. In a continued effort, wet removal of these fluorocarbon polymer was investigated in presence of UV light. Mechanistic hypothesis for UV-assisted enhanced polymer cleaning efficiency was put forward supported by detailed theoretical consideration and experimental evidence. In another endeavor, plasma-induced damage to porous low-dielectric constant interlayer dielectric material was studied. Both qualitative and quantitative analyses of dielectric degradation in terms of increased silanol content and carbon depletion provided directions towards less aggressive plasma etch and strip process development. Infrared spectroscopy metrology was also utilized in surface functionalization evaluation of very thin organic films deposited by wet and dry chemistries. Palladium binding by surface amine groups was examined in plasma-polymerized amorphous hydrocarbon films and in self-assembled aminosilane thin films. Comparison of amine concentration under different deposition conditions guided effective process optimization. A time- and cost-effective method such as current FTIR metrology that provides in-depth chemical information about thin films, surfaces, interfaces and bulk layers can be increasingly valuable as critical dimensions continue to scale down and subtle process variances begin to have a significant impact on device performance.
APA, Harvard, Vancouver, ISO, and other styles
3

Ahner, Nicole. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits." Doctoral thesis, Universitätsbibliothek Chemnitz, 2013. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-102773.

Full text
Abstract:
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given
In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden
APA, Harvard, Vancouver, ISO, and other styles
4

Ahner, Nicole [Verfasser], Thomas [Akademischer Betreuer] Geßner, Thomas [Gutachter] Geßner, Stefan E. [Akademischer Betreuer] Schulz, and Michael [Gutachter] Hietschold. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits / Nicole Ahner ; Gutachter: Thomas Geßner, Michael Hietschold ; Thomas Geßner, Stefan E. Schulz." Chemnitz : Universitätsbibliothek Chemnitz, 2013. http://d-nb.info/1214244793/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Ahner, Nicole. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits: Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen." Doctoral thesis, Universitätsverlag der Technischen Universität Chemnitz, 2011. https://monarch.qucosa.de/id/qucosa%3A19826.

Full text
Abstract:
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given.
In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.
APA, Harvard, Vancouver, ISO, and other styles
6

Rimal, Sirish. "Characterization of Post-Plasma Etch Residues and Plasma Induced Damage Evaluation on Patterned Porous Low-K Dielectrics Using MIR-IR Spectroscopy." Thesis, University of North Texas, 2016. https://digital.library.unt.edu/ark:/67531/metadc849694/.

Full text
Abstract:
As the miniaturization of functional devices in integrated circuit (IC) continues to scale down to sub-nanometer size, the process complexity increases and makes materials characterization difficult. One of our research effort demonstrates the development and application of novel Multiple Internal Reflection Infrared Spectroscopy (MIR-IR) as a sensitive (sub-5 nm) metrology tool to provide precise chemical bonding information that can effectively guide through the development of more efficient process control. In this work, we investigated the chemical bonding structure of thin fluorocarbon polymer films deposited on low-k dielectric nanostructures, using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Complemented by functional group specific chemical derivatization reactions, fluorocarbon film was established to contain fluorinated alkenes and carbonyl moieties embedded in a highly cross-linked, branched fluorocarbon structure and a model bonding structure was proposed for the first time. In addition, plasma induced damage to high aspect ratio trench low-k structures especially on the trench sidewalls was evaluated both qualitatively and quantitatively. Damage from different plasma processing was correlated with Si-OH formation and breakage of Si-CH3 bonds with increase in C=O functionality. In another endeavor, TiN hard mask defect formation after fluorocarbon plasma etch was characterized and investigated. Finding suggest the presence of water soluble amines that could possibly trigger the formation of TiN surface defect. An effective post etch treatment (PET) methods were applied for etch residue defect removal/suppression.
APA, Harvard, Vancouver, ISO, and other styles
7

Ramos, Condori Christian Hendrick. "Estudio de pre-factibilidad para la instalación de una planta productora de alimento peletizado, a base de residuos verdes de la papa y la alcachofa." Bachelor's thesis, Pontificia Universidad Católica del Perú, 2010. http://tesis.pucp.edu.pe/repositorio/handle/123456789/913.

Full text
Abstract:
Debido a la generación elevada de residuos que presentan características alimenticias dentro del sector agrario y agroindustrial; y a la oportunidad latente en el mercado de alimento para ganado el cual no satisface la totalidad de la demanda, el presente trabajo de prefactibilidad tuvo por objetivo evaluar la viabilidad de instalar una planta productora de un alimento peletizado para vacunos empleando como materia prima los residuos generados en el cultivo de la papa y la industrialización de la alcachofa. Proyectando la demanda y la oferta histórica de alimento vacuno dentro del horizonte de vida del proyecto (12 años), se descubrió en el análisis una demanda insatisfecha cuantiosa del 99 % de la demanda total. Por consiguiente, el proyecto optó por seleccionar como demanda del proyecto la relación de la oferta sobre la demanda en el año 2006 (0,65 %). Fijada la producción anual, se definió un tamaño de planta de 91 977 ton al año. Luego, se estimaron las inversiones en activos fijos; S/. 4 263 602, y capital de trabajo; S/. 660 793, para satisfacer la capacidad definida y los gastos operativos del primer año productivo (2011). Se elaboraron los presupuestos de ingresos y egresos y los estados financieros proyectados hasta el año 2020, considerando las proyecciones del estudio de mercado, la capacidad, y que la empresa es sujeto del Régimen General del Impuesto a la Renta cuya tasa impositiva es 30%; asimismo, que el producto está gravado con el IGV (19 %). Además, se calculó un punto de equilibrio de 41 121,07 ton. En suma, la evaluación económica arrojó un Valor Presente Neto (VPN) de S/. 1 228 940 y una Tasa Interna de Retorno (TIR) de 16,59 %, mayor al costo ponderado de capital (12,12 %); del mismo modo, la evaluación financiera encontró un VPN de S/. 1 520 438 y una TIR de 40,53 %, mayor al costo de oportunidad del inversionista (19,58 %). En conclusión, el proyecto es económica y financieramente factible.
Tesis
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Plasma etch residue"

1

Rumi, Cecilia, ed. Impacto fiscal de la división Chascomús-Lezama. Editorial de la Universidad Nacional de La Plata (EDULP), 2009. http://dx.doi.org/10.35537/10915/15940.

Full text
Abstract:
Este es el informe final del trabajo encomendado a la Facultad de Ciencias Económicas de la Universidad Nacional de La Plata por el Municipio de Chascomús para estudiar el impacto fiscal de una eventual división político-territorial entre Chascomús y Lezama. En este informe se presenta, en primer lugar, el marco teórico bajo el cual se analizará dicho impacto. En segundo lugar, se analiza la caracterización sociodemográfica, económica y fiscal del Municipio en su conjunto y en un escenario de división, de acuerdo a lo esgrimido en el proyecto de ley que se encuentra en tratamiento en la Legislatura Provincial. Luego, se analiza el impacto fiscal de la eventual división y, por último, se presentan las conclusiones. A partir del relevamiento y la sistematización de los aspectos que caracterizan al Municipio de Chascomús en su conjunto y a la localidad de Lezama y zonas que integran la división, se localizaron geográficamente necesidades y posibilidades de financiamiento. Se analizaron, para el actual Municipio de Chascomús y los nuevos Municipios que surgirían de la división propuesta (Lezama y Chascomús Residual): (i) la situación demográfica (población, composición, evolución, distribución geográfica); (ii) las actividades productivas y de servicios (nivel de actividad por sector, estimación del producto bruto geográfico); (iii) los aspectos económico-sociales (empleo, pobreza, grado de escolarización, cobertura de salud y demás características que reflejan la demanda por bienes públicos locales); y (iv) la situación fiscal y financiera (capacidad tributaria local, correspondencia fiscal, estructura y composición de los gastos) Para determinar el impacto fiscal de la eventual división se estimaron cuáles hubieran sido los ingresos y los gastos que le hubieran correspondido a cada jurisdicción bajo un escenario de división. El ejercicio propuesto estima los gastos y recursos a partir de la ejecución presupuestaria del año 2008. Este criterio tiene por objetivo evitar la sensibilidad del ejercicio a los supuestos que pudieran hacerse sobre la tasa de inflación, el nivel de actividad, las bases tributarias, la cobrabilidad impositiva, etc. No obstante, cabe aclarar que el año base considerado para la estimación de los efectos fiscales de la eventual separación se encuentra probablemente en el punto más alto del ciclo económico. Esto hace prever que los ingresos fiscales de los Municipios (de jurisdicción municipal y de otras jurisdicciones) estén en su nivel más elevado en términos reales y que, por lo tanto, su proyección a corto plazo debería mostrar niveles inferiores a los valores alcanzados en términos reales en el año 2008.
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Plasma etch residue"

1

Tsui, Y. C., and T. W. Clyne. "An Analytical Model for the Generation of Residual Stresses in Sprayed Coatings Deposited Progressively onto Planar Substrates." In ITSC 1997, edited by C. C. Berndt. ASM International, 1997. http://dx.doi.org/10.31399/asm.cp.itsc1997p0813.

Full text
Abstract:
Abstract An analytical model has been developed to predict the residual stress distributions in thermal spray coatings on substrates of finite thickness. This is based on the concept of a misfit strain, caused by either the quenching of splats or by differential thermal contraction during cooling. During spraying, the coatings are asssumed to deposit on the substrate in a progressive (layer-by-layer) manner. Although the misfit strain ("the quenching strain") is the same for each successive incremental layer of deposit, this is imposed each time on a "substrate" of changing thickness. The final stress distribution will in general differ from that which would result if the coating were imposed on the substrate (with the same misfit strain) in a single operation. The model is straightforward to apply: for example, it can be implemented using a standard spreadsheet program. The required input data are the quenching strain (or stress), the spraying temperature, material properties and specimen dimensions. Comparisons have been made between the predictions from this model and from a numerical model for two plasma sprayed systems. Good agreement is observed. The effects of varying certain parameters, such as coating thickness, substrate thickness, coating stiffness, etc, are readily explored, so that the model provides a useful tool for controlling residual stress levels. Application of the model to determine the quenching stress, in conjunction with the use of a curvature monitoring technique, is briefly outlined. In addition, an analysis is made of the errors introduced by using Stoney's equation to deduce stress levels from curvature measurements.
APA, Harvard, Vancouver, ISO, and other styles
2

Tannenbaum, J. M., B. S. J. Kang, and M. A. Alvin. "Non-Destructive TBC Spallation Detection by a Micro-Indentation Method." In ASME Turbo Expo 2010: Power for Land, Sea, and Air. ASMEDC, 2010. http://dx.doi.org/10.1115/gt2010-23500.

Full text
Abstract:
In this research, a load-based depth-sensing micro-indentation method for spallation detection and damage assessment of thermal barrier coating (TBC) materials is presented. A non-destructive multiple loading/partial unloading testing methodology was developed where in stiffness responses of TBC coupons subjected to various thermal cyclic loading conditions were analyzed to predict the spallation site and assess TBC degradation state. The measured stiffness responses at various thermal loading cycles were used to generate time-series color maps for correlation with accumulation of TBC residual stress states. The regions with higher stiffness responses can be linked to a rise in out-of-plane residual stress located near or at the yttria stabilized zirconia (YSZ)/thermally grown oxide (TGO) interface, which is ultimately responsible for initiating TBC spallation failure. A TBC thermal exposure testing plan was carried out where time-series cross-sectional microstructural analyses of damage accumulation and spallation failure associated with the evolution of bond coat/TGO/top coat composite (e.g. thickness, ratcheting, localized oxidations, etc.) of air plasma sprayed (APS) TBCs were evaluated and correlated to the measured stiffness responses at various thermal cycles. The results show that the load-based micro-indentation test methodology is capable of identifying the spallation site(s) before actual occurrence. This micro-indentation technique can be viewed as a viable non-destructive evaluation (NDE) technique for determining as-manufactured and process-exposed TBCs. This technique also shows promise for the development of a portable instrument for on-line, in-situ spallation detection/prediction of industrial-size TBC turbine components.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography