To see the other types of publications on this topic, follow the link: Witt, Anneaux de.

Journal articles on the topic 'Witt, Anneaux de'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Witt, Anneaux de.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Dias, Ires, and Artibano Micali. "Anneaux de Witt de LG-anneaux." Indagationes Mathematicae 9, no. 2 (June 1998): 211–20. http://dx.doi.org/10.1016/s0019-3577(98)80019-0.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Mah�, Louis. "Th�or�me de Pfister pour les vari�t�s et anneaux de Witt r�duits." Inventiones Mathematicae 85, no. 1 (February 1986): 53–72. http://dx.doi.org/10.1007/bf01388792.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Liu, Tian Mo, Wei Hui Hu, and Qing Liu. "Research on the Microstructures and Mechanical Properties of Annealed Cold Upsetting AZ31 Magnesium Alloy." Materials Science Forum 610-613 (January 2009): 826–30. http://dx.doi.org/10.4028/www.scientific.net/msf.610-613.826.

Full text
Abstract:
The microstructures and mechanical properties of cold upsetting magnesium alloys were investigated upon anneal under different conditions. The results show that a large amount of twins were observed in the original grains of cold upsetting AZ31 magnesium alloys. The twins disappeared gradually and recrystal grains formed after anneal. The volume fraction of the recrystal grains increases as the strain of samples rises. Recrystal grain size grows large with the elevated annealing temperature. Recrystal grain size reduces at first and then grows as the annealing time is prolonged. In addition, compared with as-cast magnesium alloys, the yield strength of cold upsetting samples increase apparently due to grain refinement after anneals.
APA, Harvard, Vancouver, ISO, and other styles
4

Garces, N. Y., E. R. Glaser, W. E. Carlos, and Mark A. Fanton. "Behavior of Native Defects in Semi-Insulating 4H-SiC after High Temperature Anneals and Different Cool-Down Rates." Materials Science Forum 600-603 (September 2008): 389–92. http://dx.doi.org/10.4028/www.scientific.net/msf.600-603.389.

Full text
Abstract:
We have recently explored the nature and stability of native defects in high-purity semi-insulating 4H-SiC bulk substrates grown by PVT and HTCVD methods after post-growth anneal treatments up to 2400oC using electron paramagnetic resonance (EPR) and low-temperature photoluminescence (PL) experiments. In the present study we have extended these investigations to SI 4H-SiC subjected to the same post-growth high-temperature anneal treatments, where significantly enhanced carrier lifetimes have been reported for such conditions, but cooled at different rates ranging from ~2-25oC/min. Previously, the intensities of the native defects decreased monotonically with anneals from 1200–1800oC; however, it was recently observed that several of these defects reappear after annealing at 2100oC and above. Our results illustrate the effects of the post-growth anneal treatments and cool-down rates on the concentrations of native defects.
APA, Harvard, Vancouver, ISO, and other styles
5

Bélair, Luc. "Anneaux de fonctions p-adiques." Journal of Symbolic Logic 60, no. 2 (June 1995): 484–97. http://dx.doi.org/10.2307/2275843.

Full text
Abstract:
AbstractWe study first-order properties of the quotient rings (V)/ by a prime ideal where (V) is the ring of p-adic valued continuous definable functions on some affine p-adic variety V. We show that they are integrally closed Henselian local rings, with a p-adically closed residue field and field of fractions, and they are not valuation rings in general but always satisfy ∀ x, y(x∣y2 ∨ y∣x2).
APA, Harvard, Vancouver, ISO, and other styles
6

Ma, Xiang Yang, Yan Feng, Yu Heng Zeng, and De Ren Yang. "Oxygen Precipitation in Conventional and Nitrogen Co-Doped Heavily Arsenic-Doped Czochralski Silicon Crystals: Oswald Ripening." Solid State Phenomena 156-158 (October 2009): 275–78. http://dx.doi.org/10.4028/www.scientific.net/ssp.156-158.275.

Full text
Abstract:
Oxygen precipitation (OP) behaviors in conventional and nitrogen co-doped heavily arsenic-doped Czocharalski silicon crystals subjected to low-high two-step anneals of 650 oC/8 h + 1000 oC/4-256 h have been comparatively investigated. Due to the nitrogen enhanced nucleation of OP during the low temperature anneal, much higher density of oxygen precipitates generated in the nitrogen co-doped specimens. With the extension of high temperature anneal, Oswald ripening of OP in the nitrogen co-doped specimens preceded that in the conventional ones. Moreover, due to the Oswald ripening effect, the oxygen precipitates in the conventional specimens became larger with a wider range of sizes. While, the sizes of oxygen precipitates in the nitrogen co-doped specimens distributed in a much narrower range with respect to the conventional ones.
APA, Harvard, Vancouver, ISO, and other styles
7

Bentley, J., and B. A. Pint. "Analytical Electron Microscopy of V-4%Ti-4%Cr Alloys." Microscopy and Microanalysis 7, S2 (August 2001): 1246–47. http://dx.doi.org/10.1017/s143192760003230x.

Full text
Abstract:
An important aspect in the consideration of V-4%Ti-4%Cr alloys as structural materials for proposed fusion reactors, especially for weldments, is the sensitivity of mechanical behavior to oxygen content. Following a 1-h anneal at 1200°C, sheet specimens were subjected to extended (16h to 43h) anneals at 500°C in 5 to 8 x 10-6 torr oxygen, followed by an homogenization vacuum anneal of 100 h at 600°C. Weight gains indicated ∼200 to >1400 wppm oxygen doping. Specimens were also subjected to an additional vacuum anneal for 4 h at 950°C. Specimens were prepared by standard double-jet electropolishing for TEM characterization with a Philips CM30 (LaB6) equipped with a Gatan Imaging Filter (GIF), and with a Philips CM200FEG equipped with Oxford EDS, GIF, and Emispec Vision integrated acquisition system.Following oxygen doping and homogenization, high concentrations of nanoscale G.P.-zone-like clusters on ﹛001﹜ were observed in the matrix, with a ∼200-nm-wide precipitate free zone (PFZ) at grain boundaries.
APA, Harvard, Vancouver, ISO, and other styles
8

Khaja, Fareen Adeni. "Contact Resistance Improvement for Advanced Logic by Integration of Epi, Implant and Anneal Innovations." MRS Advances 4, no. 48 (2019): 2559–76. http://dx.doi.org/10.1557/adv.2019.416.

Full text
Abstract:
ABSTRACTAs advanced CMOS scaling with FinFETs continues beyond the 10/7nm nodes, contact resistance (Rc) remains a dominant component affecting device performance. The FinFET Source/Drain (S/D) contact area has become smaller with fin pitch scaling, resulting in drastically increased Rc. To achieve higher drive currents and fully realize the performance gain from FinFET architectural changes, it is critical to continue to reduce contact resistivity (ρc) < 1.0x10-9 Ω.cm2 for both NMOS and PMOS. In this paper, we review the recent trends for ρc reduction for advanced CMOS devices and discuss approaches that have demonstrated reduction in ρc, such as in-situ heavily doped epitaxial films for S/D, advanced ion implantation and laser anneals. The implant techniques include pre-amorphization implants (PAI), dopant boosting implants, cryogenic (-100°C) implants for damage engineering and plasma doping (PLAD) for conformal doping of high aspect ratio (HAR) contacts. With such high levels of doping from epi and implants, advanced laser anneals are key for epitaxial regrowth and formation of metastable alloys for dopant supersaturation or segregation in top layers. Millisecond laser anneal (MSA) improves dopant activation and nanosecond laser anneal (NLA) permits superactivation, and both have become key enablers for ρc reduction. This paper also reviews two alternative contact approaches: dual silicide scheme and wrap-around contact (WAC), as potential pathways to further reduce Rc for advanced CMOS nodes.
APA, Harvard, Vancouver, ISO, and other styles
9

Banzhaf, Christian T., Michael Grieb, Martin Rambach, Anton J. Bauer, and Lothar Frey. "Impact of Post-Trench Processing on the Electrical Characteristics of 4H-SiC Trench-MOS Structures with Thick Top and Bottom Oxides." Materials Science Forum 821-823 (June 2015): 753–56. http://dx.doi.org/10.4028/www.scientific.net/msf.821-823.753.

Full text
Abstract:
This study focuses on the evaluation of different post-trench processes (PTPs) for Trench-MOSFETs. Thereto, two different types of inert gas anneals at process temperatures above 1250 °C are compared to a sacrificial oxidation as PTP. The fabricated 4H-SiC Trench-MOS structures feature a thick silicon dioxide (SiO2) both at the wafer surface (‘top’) and in the bottom of the trenches (‘bottom’) in order to characterize only the thin gate oxide at the trenched sidewalls. It is shown that an inert gas anneal at a process temperature between 1400 °C and 1550 °C yields uniform current/electric field strength (IE) values and excellent dielectric breakdown field strengths up to 12 MV/cm using a SiO2 gate oxide of approximately 40 nm thickness. Charge-to-breakdown (QBD) measurements at a temperature T of 200 °C confirm the necessity of a high temperature inert gas anneal after 4H-SiC trench etching in order to fabricate reliable Trench-MOS devices. QBD values up to 16.2 C/cm² have been measured at trenched and high temperature annealed sidewalls, which is about twice the measured maximum QBD value of the corresponding planar reference MOS structure. The capacitive MOS interface characterization points out the need for a sacrificial oxidation subsequent to a high temperature inert gas anneal in order to ensure a high quality MOS interface with excellent electrical properties.
APA, Harvard, Vancouver, ISO, and other styles
10

Ren Mengyuan, 任梦远, and 陈霏 Chen Fei. "采用红外快速热退火的晶体管TED效应与沟道尺寸的关系研究." Infrared and Laser Engineering 50, no. 5 (2021): 20200306. http://dx.doi.org/10.3788/irla20200306.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Cristiano, Fuccio, El Mehdi Bazizi, Pier Francesco Fazzini, Simona Boninelli, Ray Duffy, Ardechir Pakfar, Silke Paul, and Wilfried Lerch. "Extended Defects Evolution in Pre-Amorphised Silicon after Millisecond Flash Anneals." Materials Science Forum 573-574 (March 2008): 269–77. http://dx.doi.org/10.4028/www.scientific.net/msf.573-574.269.

Full text
Abstract:
In this paper, we investigate the evolution of extended defects during a millisecond Flash anneal after a preamorphising implant. The experimental results, supported by predictive simulations, indicate that during the ultra-fast temperature ramp-up and rump-down occurring in a millisecond Flash anneal, the basic mechanisms that control the growth and evolution of extended defects are not modified with respect to the relatively slower annealing processes, such as “soak” and “spike” Rapid Thermal Annealing. In addition, we have observed a decrease in the number of trapped interstitials in the End-Of-Range (EOR) defects when decreasing the Ge+ amorphisation energy from 30 keV down to 2 keV. This result is ascribed to two concomitant phenomena: (i) the increase of the initial number of interstitials, Ni, created by the amorphisation step, when the implant energy is decreased and (ii) the efficient interstitial annihilation at the silicon surface, whose recombination length, Lsurf, is in the nanometer range even at the very high temperatures employed in millisecond Flash anneals.
APA, Harvard, Vancouver, ISO, and other styles
12

Zeng, Wanxue, Xiaodong Wang, Sumit Kumar, David W. Peters, and Eric T. Eisenbraun. "Low-temperature plasma-enhanced atomic layer deposition growth of WNxCy from a novel precursor for barrier applications in nanoscale devices." Journal of Materials Research 22, no. 3 (March 2007): 703–9. http://dx.doi.org/10.1557/jmr.2007.0079.

Full text
Abstract:
A low-temperature plasma-enhanced atomic layer deposition (PEALD) process has been developed for the growth of ultrathin WNxCy films, using a halide-free W precursor. A 32-nm-thick PEALD WNxCy film deposited using this process at 250 °C possesses a composition of W72C20N5, resistivity of ∼250 μΩ·cm, a root-mean-square (rms) surface roughness of 0.23 nm, and a thickness conformality of more than 80% on trench structures with a width of 120 nm and an aspect ratio of 11. The WNxCy films exhibited excellent thermal stability, whereby resistivity, thickness, surface roughness, and crystal structure were stable after 30 min anneals in 700 Torr, forming gas ambient at temperatures up to 700 °C. Copper diffusion barrier performance measurements show that a 9 nm thick WNxCy film could prevent copper diffusion after a 30 min anneal at 700 °C, while a 2-nm-thick film could prevent copper diffusion after a 30 min anneal at 500 °C.
APA, Harvard, Vancouver, ISO, and other styles
13

Simonetta, Patrick. "Une correspondance entre anneaux partiels et groupes." Journal of Symbolic Logic 62, no. 1 (March 1997): 60–78. http://dx.doi.org/10.2307/2275732.

Full text
Abstract:
AbstractThis work is inspired by the correspondence of Malcev between rings and groups. Let A be a domain with unit, and S a multiplicative group of invertible elements. We define AS as the structure obtained from A by restraining the multiplication to A × S, and σ(AS) as the group of functions from A to A of the form x → xa + b, where (a, b) belongs to S × A. We show that AS and σ(As) are interpretable in each other, and then, that we can transfer some properties between classes (or theories) of “reduced” domains and corresponding groups, such as being elementary, axiomatisability (for classes), decidability, completeness, or, in some cases, existence of a model-completion (for theories).We study the extensions of the additive group of A by the group S, acting by right multiplication, and show that sometimes σ(AS) is the unique extension of this type. We also give conditions allowing us to eliminate parameters appearing in interpretations.We emphasize the case where the domain is a division ring K and S is its multiplicative group K×. Here, the interpretations can always be done without parameters. If the centre of K contains more than two elements, then σ(K) is the only extension of the additive group of K by its multiplicative group acting by right multiplication, and the class of all such σ(K)'s is elementary and finitely axiomatisable. We give, in particular, an axiomatisation for this class and for the class of σ(K)'s where K is an algebraically closed field of characteristic 0. From these results it follows that some classical model-companion results about theories of fields can be translated and restated as results about theories of solvable groups of class 2.
APA, Harvard, Vancouver, ISO, and other styles
14

Lee, W.-K., and A. S. Nowick. "Degradation of high-Tc superconductors by annealing in dry and moist atmospheres." Journal of Materials Research 5, no. 9 (September 1990): 1855–59. http://dx.doi.org/10.1557/jmr.1990.1855.

Full text
Abstract:
A study is made of the effects of annealing both La2−xSrxCuO4 (for x = 0, 0.1, and 0.15) and YBa2Cu3O7 in wet and dry O2 atmospheres at various temperatures between 200 and 930 °C. In the case of La2−xSrxSrCuO4, substantial degradation of resistive properties occurs during annealing in moist O2, the degradation being highest at 200 °C and decreasing as the treatment temperature increases. Since the Meissner effect remains unaffected, it is concluded that degradation is due to the formation of a hydroxide species at grain boundaries, which decomposes as the anneal temperature is increased to 930 °C. In the case of YBa2Cu3O7, on the other hand, moisture does not produce degradation for anneals at 200 °C and above, but severe degradation of resistive behavior does occur for dry O2 anneals, with a maximum effect at 500 °C. It is found that this effect results from a contaminant gas, possibly CO2, absorbed by the furnace when it is open to air. Again, the degradation is due to formation of a grain-boundary phase which decomposes by annealing at 930 °C.
APA, Harvard, Vancouver, ISO, and other styles
15

Saenger, K. L., A. Grill, and C. Cabral. "Noble metal silicide formation in metal/Si structures during oxygen annealing: Implications for perovskite-based memory devices." Journal of Materials Research 13, no. 2 (February 1998): 462–68. http://dx.doi.org/10.1557/jmr.1998.0060.

Full text
Abstract:
This paper investigates the potentially undesirable noble metal silicide formation reactions that may occur in noble metal electrodes deposited directly on silicon without an intervening diffusion barrier. Metal (90–100 nm)/Si structures of Pt/Si, Rh/Si, Ir/Si, and Ir/Ti/Si were annealed in oxygen or nitrogen ambients at temperatures of 640–700 °C. Metalysilicon reactions and phase formation were studied by Rutherford Backscattering Spectroscopy, x-ray diffraction, and electrical resistance measurements. While complete silicidation was observed in the Rh/Si, Pt/Si, and Ir/Si samples after 640 °C/6 min anneals in nitrogen, some Pt and most of the Ir remained after equivalent anneals in oxygen. More detailed studies of the Ir/Si samples indicated that some Ir is left unsilicided even after a 700 °C/6 min anneal in O2, and that the iridium silicide formed is the semiconducting IrSi1.75. The formation of this silicide can be delayed, but not prevented, with the use of a 5 nm Ti adhesion layer between the Ir and Si.
APA, Harvard, Vancouver, ISO, and other styles
16

Lockwood, D. J., J. M. Baribeau, and H. J. Labbé. "Investigation of interdiffusion in (SimGen)p superlattices and Gen buried layers by Raman and X-ray techniques." Canadian Journal of Physics 70, no. 10-11 (October 1, 1992): 852–59. http://dx.doi.org/10.1139/p92-135.

Full text
Abstract:
Two thin pseudomorphic (SimGen)p superlattices with m = n = 4 and p = 5 and vice versa were prepared at 350 °C by molecular beam epitaxy on (100) Si to investigate interdiffusion upon annealing. A Raman scattering and X-ray reflectometry study of the as-grown specimens indicated significant interdiffusion at the Si–Ge interfaces. The Raman investigations of specimens annealed for 20 s at temperatures up to 750 °C showed that atomic diffusion across the Si–Ge interfaces had occurred at an anneal temperature of 600 °C. After a 20 s 700 °C anneal. Raman and X-ray techniques showed that the superlattices modulation was destroyed consistent with a diffusion coefficient of about 10−21 m2/s. Both techniques revealed that 100 s anneals at temperatures of 750–950 °C introduced a slower diffusion rate (10−20 m2/s at 950 °C), but also strain relief, in Gen (n < 12) epilayers buried in Si. The differences in the effective diffusion rates for the two types of heterostructure are attributed to a different degree of interface mixing during growth.
APA, Harvard, Vancouver, ISO, and other styles
17

Maximenko, Serguei I., Jaime A. Freitas, N. Y. Garces, E. R. Glaser, and Mark A. Fanton. "Evolution of D1-Defect Center in 4H-SiC during High Temperature Annealing." Materials Science Forum 600-603 (September 2008): 429–32. http://dx.doi.org/10.4028/www.scientific.net/msf.600-603.429.

Full text
Abstract:
The behavior of the D1 center in semi-insulating 4H-SiC substrates revealed by low-temperature photoluminescence was investigated after post-growth high temperature anneals between 1400 and 2400oC. The influence of different post-anneal cooling rates was also studied. The optical signature of D1 was observed up to 2400oC with intensity maxima at 1700 and 2200oC. We propose that the peak at 1700°C can be related to the formation and subsequent dissociation of SiC native defects. It was found that changes in the post-annealing cooling rate drastically influence the behavior of the D1 center and the concentrations of the VC, VSi, VC-VSi and VC-CSi lattice defects.
APA, Harvard, Vancouver, ISO, and other styles
18

Voronkov, Vladimir V., G. I. Voronkova, A. V. Batunina, Robert J. Falster, V. N. Golovina, A. S. Guliaeva, N. B. Tiurina, and M. G. Milvidski. "Evolution of Thermal Donors in Silicon Enhanced by Self-Interstitials." Solid State Phenomena 131-133 (October 2007): 387–92. http://dx.doi.org/10.4028/www.scientific.net/ssp.131-133.387.

Full text
Abstract:
The time dependence of thermal donor (TD) concentration, N(t), during annealing at 450oC was measured in samples cut from a single slab of silicon containing bands of grown-in microdefects of different types. An enormous impact of the microdefect type on the kinetic curve was observed. Samples from the interstitial region showed simple linear rise in N(t). The samples from an inner part of the vacancy region showed a complicated oscillating variation with an abrupt disappearance of the TDs at some moment followed by an immediate restoration of a linear rise. In samples from the marginal H-band of the vacancy region, an initial anneal does not produce TDs. However if this anneal was followed by a quench, subsequent anneals produce a linear rise in N(t). On the other hand, if the sample was slowly cooled, the subsequent production of TDs remained almost negligible. These observed peculiarities are accounted for by enhanced TD growth in the presence of self-interstitials (I) - due to IO species serving as vehicles for oxygen transport.
APA, Harvard, Vancouver, ISO, and other styles
19

Tsao, Bang Hung, Jacob Lawson, and James D. Scofield. "Ti/AlNi/W and Ti/Ni2Si/W Ohmic Contacts to P-Type SiC." Materials Science Forum 527-529 (October 2006): 903–6. http://dx.doi.org/10.4028/www.scientific.net/msf.527-529.903.

Full text
Abstract:
AlNi and Ni2Si based ohmic contacts to p-type 4H-SiC have been produced using low energy ion implantation, a Ti contact layer, and sequential anneals. Low resistivities were promoted by degenerately (>1020 cm-3) doping the surface region of 4H-SiC epilayers via Al+ implantation. High acceptor activation and improved surface morphology was achieved by capping the samples with pyrolized photoresist and using a two-step anneal sequence in argon. Ti/AlNi/W and Ti/Ni2Si/W stacks of varying Ti and/or binary layer thickness were compared at varying anneal temperatures. AlNi based samples reliably and repeatedly achieved specific contact resistivities as low as 5.5 x10-5 ohm-cm2 after annealing at temperatures of 700-1000°C. For the Ni2Si samples, resistivities as low 4.5x10-4 ohm-cm2 were reached after annealing between 750 and 1100°C. Similarly, a set of Ti/AlNi/Au samples, with or without Ge as an additional contact layer, were prepared via the same procedures. In this case, specific contact resistivities as low as 5.0 x10-4 ohm-cm2 were achieved after annealing the Ti/AlNi/Au samples between 600 and 700°C for 30 minutes in a dynamic argon atmosphere or under high vacuum. The lowest resistivities were realized using thicker (~ 40 nm) Ti layers. I-V analysis revealed superior linear characteristics for the AlNi system, which also exhibited a more stable microstructure after anneal. SIMS and RBS were used to analyze the stability of the stacks subsequent to thermal treatment. AFM analysis demonstrated the superiority of photoresist capping over alternatives in minimizing surface roughness. Linear ohmic behavior after significantly reduced anneal temperature is the main observation of the present study.
APA, Harvard, Vancouver, ISO, and other styles
20

Boeckl, John, W. C. Mitchel, Wei Jie Lu, and J. Rigueur. "Structural and Electrical Characteristics of Carbon Nanotubes Formed on Silicon Carbide Substrates by Surface Decomposition." Materials Science Forum 527-529 (October 2006): 1579–82. http://dx.doi.org/10.4028/www.scientific.net/msf.527-529.1579.

Full text
Abstract:
Aligned carbon nanotubes (CNT’s) are formed on the surface of silicon carbide (SiC) wafers during high temperature anneals. The exposed 4H SiC surface transforms into CNT’s for temperatures in the range of 1400-1700°C and under moderate vacuum conditions (10-2 – 10-5 torr). The rate of formation on the C-face (0001,‾) is about three times the rate on the Si-face (0001), but both rates increase with anneal temperature. SEM, TEM and Raman scattering measurements have confirmed the presence of both single-wall and multi-wall CNT’s. The carbon source is believed to be residual carbon from the SiC left on the surface after preferential evaporation of Si. CNT formation is believed to be catalyzed by low concentrations of residual oxygen in the chamber. Subsequent I-V measurements provide insight into the electrical characteristics of the CNT’s and the SiC/CNT interface.
APA, Harvard, Vancouver, ISO, and other styles
21

Lince, Jeffrey R., Tsai C. Thomas, and Williams R. Stanley. "The growth of AuGa2 thin films on GaAs(001) to form chemically unreactive interfaces." Journal of Materials Research 1, no. 4 (August 1986): 537–42. http://dx.doi.org/10.1557/jmr.1986.0537.

Full text
Abstract:
Thin AuGa2 films were grown by codeposition from separate Au and Ga evaporation sources on clean GaAs(001) substrates in ultrahigh vacuum, and were studied by Auger electron spectroscopy, electron energy-loss spectroscopy, low-energy electron diffraction, scanning electron microscopy, and x-ray diffractometry. The morphology and crystallinity of the AuGa2 were highly dependent upon the film deposition and annealing history. Films grown on room-temperature substrates were continuous, specular, and polycrystalline, but the dominant orientation was with the (001) planes of the crystallites parallel to the substrate surface. Annealing to temperatures between 300°and 480°C caused the film to break up and coalesce into rectangular crystallites, which were all oriented with (001) parallel to the surface. An anneal to 500°C, which is above the AuGa2 melting point, resulted in the formation of irregular polycrystalline islands of AuGa2 on the GaAs(001) substrate. No interface roughening or chemical reactions between the film and substrate or interface were observed for even the highest-temperature anneals.
APA, Harvard, Vancouver, ISO, and other styles
22

Kaushik, Vidya S., Robert L. Hance, and Charlotte L. Grove. "TEM in situ annealing of fluorine-implanted amorphized silicon." Proceedings, annual meeting, Electron Microscopy Society of America 49 (August 1991): 874–75. http://dx.doi.org/10.1017/s0424820100088683.

Full text
Abstract:
In situ heating experiments in the transmission electron microscope offer unique opportunities to visualize and understand material behavior. The annealing behavior of silicon amorphized by conventional dopant implants as studied by in situ TEM has been reported in the literature. As part of a study on the behavior of fluorine in silicon, we have performed in situ anneals of fluorine-implanted amorphized silicon on XTEM samples, and compared these with bulk furnace-annealed samples.The wafer was an n-type (100) silicon (18-24 ohm-cm) implanted with 1 x 1016 /cm2 fluorine at 60 keV at room temperature through a 13 nm oxide layer. The XTEM samples were heated within the TEM in a Gatan sample holder in a JEOL 2000 FXII STEM operated at 200 kV. The behavior of the sample was observed using a wide angle CCD TV camera and recorded on videotape. Micrographs were recorded before the anneal and after the temperature had stabilized.
APA, Harvard, Vancouver, ISO, and other styles
23

Astafurov, Sergey V., Galina G. Maier, Eugene V. Melnikov, Valentina A. Moskvina, Marina Yu Panchenko, Ksenya A. Reunova, Nina K. Galchenko, and Elena G. Astafurova. "The Effect of Thermo-Mechanical Processing Regime on High-Temperature Tensile Properties of V-Alloyed High-Nitrogen Steel." Solid State Phenomena 306 (June 2020): 53–61. http://dx.doi.org/10.4028/www.scientific.net/ssp.306.53.

Full text
Abstract:
The paper is devoted to an experimental investigation of a high-temperature deformation in V-alloyed high-nitrogen austenitic Fe-19Cr-22Mn-1.5V-0.3C-0.6N steel processed via different thermo-mechanical treatments. Simple thermo-mechanical processing regimes (cold rolling or rolling with single post-deformation anneal) do not allow to realize a substantial elongation in high-nitrogen steel during high-temperature tensile tests. For fine-grained austenitic structure with an average grain size of 3 µm, the maximal value of elongation to failure of 150% was realized at temperature 950 °C. Using a multi-stage thermo-mechanical treatment included cold rolling and intermediate anneals, a heterophase grain/subgrain structure with high density of deformation-induced defects and precipitates was produced. When heated to a deformation temperature, this deformation-assisted microstructure recrystallizes into a stable fine-grained structure and demonstrates the attributes of superplastic flow (values of elongation to failure higher than 400%) in the temperature range of 850-1000 °C. The maximum elongation of 900% is achieved at temperature of 950 °C and an initial strain rate of 10-4 s-1.
APA, Harvard, Vancouver, ISO, and other styles
24

Pico, C. A., and M. G. Lagally. "Angular correlation between grains of metastable TiSi2." Proceedings, annual meeting, Electron Microscopy Society of America 46 (1988): 888–89. http://dx.doi.org/10.1017/s0424820100106508.

Full text
Abstract:
TiSi2 is the primary silicide candidate as interconnect material in very largy scale integrated (VLSI) devices because of its low resistivity (15μΩ-cm) and relatively low processing temperature. While formation of TiSi2 from Ti-on-Si reaction couples can be accomplished easily and quickly at anneal temperatures above 550°C, below ∽650°C TiSi2 forms in the metastable C49 (base-centered orthorhombic; a=3.62Å, b=13.76Å, and c=3.605Å) 12-atom-per-unit-cell crystal structure with a characteristic resistivity of 65μΩ-cm. To achieve the low-resistivity C54 (face-centered orthorhombic; a=8.24Å, b=4.78Å, and c=8.54Å) phase, anneals above ∼650°C are required. It has been suggested that the decrease in resistivity of TiSi2 from the C49 phase to the C54 phase is a result of the reduced number of microstructural defects (defect separation changes from ∼30Å to 5μm) associated with the change of crystal structure. An understanding of the arrangement of the microstructural defects in C49 is needed to correlated the electrical properties of C49 and C54 correctly.
APA, Harvard, Vancouver, ISO, and other styles
25

Russell, Christine. "Thin Oxide Films Crystallized with Low-Temperature Anneals." MRS Bulletin 27, no. 9 (September 2002): 663–64. http://dx.doi.org/10.1557/mrs2002.212.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Morel, Fabien. "Sur les puissances de l?id�al fondamental de l?anneau de Witt." Commentarii Mathematici Helvetici 79, no. 4 (December 2004): 689–703. http://dx.doi.org/10.1007/s00014-004-0815-z.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Revenant-Brizard, C., J. P. Simon, J. R. Regnard, I. Manzini, and B. Rodmacq. "Structural Evolution of Ag–Co and Ag–Ni Alloys Studied by Anomalous Small-Angle X-ray Scattering." Journal of Applied Crystallography 31, no. 5 (October 1, 1998): 783–88. http://dx.doi.org/10.1107/s0021889898005640.

Full text
Abstract:
The structural evolution of co-sputtered Ag–20 (and 35) at.% Co and Ag–20 (and 35) at.% Ni was studied by anomalous small-angle X-ray scattering in the as-deposited state and after different anneals for 10 min at 573, 623 and 723 K. Anomalous scattering was used to separate the part of the scattering signal due to the transition metal particles from the signal of other heterogeneities. Strong segregation, involving about two-thirds of the Co (or Ni) atoms, already exists for the as-deposited state. After a 573 K anneal, the phases (Ag matrix and Co or Ni well defined particles) have almost reached equilibrium,i.e.complete immiscibility. Most of the magnetic particles are three dimensional with an average radius of 5–25 Å and the average distance between the particles varies from 17 to 110 Å, depending on the magnetic element and its concentration, and on the annealing conditions. The size distribution does not correspond to that of usual coarsening, but becomes broader after extended annealing. This is probably due to heterogeneous precipitation at grain boundaries of the Ag matrix.
APA, Harvard, Vancouver, ISO, and other styles
28

Habersat, Daniel B., Aivars J. Lelis, J. M. McGarrity, F. Barry McLean, and Siddharth Potbhare. "The Effect of Nitridation on SiC MOS Oxides as Evaluated by Charge Pumping." Materials Science Forum 600-603 (September 2008): 743–46. http://dx.doi.org/10.4028/www.scientific.net/msf.600-603.743.

Full text
Abstract:
We have analyzed the effect of post-oxidation nitride anneals (usually with either NO or N2O gases) on SiC MOSFETs. Two 4H:SiC wafers were identically prepared except that one wafer had a nitridation anneal after the gate oxide was formed, while the other was tested as-oxidized. We compared the two processes by making measurements on lateral MOSFETs and MOS capacitors using ID-VGS, C-V, and charge pumping. There was no change in either flatband voltage or interface trap density near the valence band, suggesting that the net fixed charge remained constant (within a few 1011cm-2). However, there was a large shift in the threshold voltage which, when combined with the C-V results, indicates a strong reduction of interface traps near the conduction band of roughly 6.0x1012cm-2 by using the nitridation process. The charge pumping measurements also showed a strong reduction of interface traps. Charge pumping measured a trapping density of 2.5x1012cm-2 for the as-oxidized samples and 5.3x1011cm-2 for the nitrided samples. The frequency-dependence of the charge pumping signal also indicates a spatial distribution of traps, with volumetric trap densities of roughly 1.3x1019cm-3 over 25Å on as-oxidized and 3.8x1018cm-3 over 19Å for nitrided.
APA, Harvard, Vancouver, ISO, and other styles
29

Lichtenwalner, Daniel J., Vipindas Pala, Brett A. Hull, Scott Allen, and John W. Palmour. "High-Mobility SiC MOSFETs with Alkaline Earth Interface Passivation." Materials Science Forum 858 (May 2016): 671–76. http://dx.doi.org/10.4028/www.scientific.net/msf.858.671.

Full text
Abstract:
Alkaline earth elements Sr and Ba provide SiO2/SiC interface conditions suitable for obtaining high channel mobility metal-oxide-semiconductor field-effect-transistors (MOSFETs) on the Si-face (0001) of 4H-SiC, without the standard nitric oxide (NO) anneal. The alkaline earth elements Sr and Ba located at/near the SiO2/SiC interface result in field-effect mobility (μFE) values as high as 65 and 110 cm2/V.s, respectively, on 5×1015 cm-3 Al-doped p-type SiC. As the SiC doping increases, peak mobility decreases as expected, but the peak mobility remains higher for Ba interface layer (Ba IL) devices compared to NO annealed devices. The Ba IL MOSFET field-effect mobility decreases as the temperature is increased to 150 °C, as expected when mobility is phonon-scattering-limited, not interface-trap-limited. This is in agreement with measurements of the interface state density (DIT) using the high-low C-V technique, indicating that the Ba IL results in lower DIT than that of samples with nitric oxide passivation. Vertical power MOSFET (DMOSFET) devices (1200V, 15A) fabricated with the Ba IL have a 15% lower on-resistance compared to devices with NO passivation. The DMOSFET devices with a Ba IL maintain a stable threshold voltage under NBTI stress conditions of-15V gate bias stress, at 150 °C for 100hrs, indicating no mobile ions. Secondary-ion mass-spectrometry (SIMS) analysis confirms that the Sr and Ba remain predominantly at the SiO2/SiC interface, even after high temperature oxide annealing, consistent with the observed high channel mobility after these anneals. The alkaline earth elements result in enhanced SiC oxidation rate, and the resulting gate oxide breakdown strength is slightly reduced compared to NO annealed thermal oxides on SiC.
APA, Harvard, Vancouver, ISO, and other styles
30

Pak, Jin-Woo, Eun-Chul Kang, and Euy-Joon Lee. "PVT-GSHP System Economic Evaluation Study with IEA ECBCS Annex 54 Method." Journal of the Korean Solar Energy Society 34, no. 1 (February 28, 2014): 64–71. http://dx.doi.org/10.7836/kses.2014.34.1.064.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Ellison, Aubrey J., and Ronald T. Raines. "A pendant peptide endows a sunscreen with water-resistance." Organic & Biomolecular Chemistry 16, no. 39 (2018): 7139–42. http://dx.doi.org/10.1039/c8ob01773e.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Roth, George L. "Learning to Anneal: An Interview with Vic Leo." Reflections: The SoL Journal 4, no. 3 (March 1, 2003): 59–68. http://dx.doi.org/10.1162/15241730360580221.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Venables, D., S. J. Krause, J. D. Lee, J. C. Park, and P. Roitman. "Origin of the defect structures in oxygen-implanted silicon-on-insulator material." Proceedings, annual meeting, Electron Microscopy Society of America 51 (August 1, 1993): 1108–9. http://dx.doi.org/10.1017/s0424820100151374.

Full text
Abstract:
Silicon-on-insulator material fabricated by high-dose oxygen implantation (known as SIMOX) has been used for high speed and radiation hard devices and is under consideration for use in low power applications. However, a continuing problem has been crystalline defects in the top silicon layer. SIMOX is fabricated by two distinct methods: a single oxygen implant to a dose of 1.8×l018 cm-2 followed by a high-temperature anneal (≥1300°C, 4-6 hr) or by multiple lower dose implants (∼6×l017 cm-2) with high-temperature anneals after each implant. To date, there has been no systematic comparison of the defect structures produced by these two fabrication methods. Therefore, we have compared the defect structure and densities in multiple vs. single implant wafers. In this paper we describe the origin and characteristics of the defect structures in SIMOX and show how their densities are controlled by the processing method and conditions.Silicon (100) wafers were implanted in a high current implanter at ∼620°C to doses of 1.8×l018 or 0.6/0.6/0.6×l018 cm-2 and annealed at 1325°C, 4 hr in 0.5% or 5% O2 in Ar. Cross-section (XTEM) and plan-view (PTEM) samples were studied with bright field and weak beam dark field techniques in a transmission electron microscope operating at 200 keV.
APA, Harvard, Vancouver, ISO, and other styles
34

Anders, Mark A., Patrick M. Lenahan, and Aivars J. Lelis. "The Effect of Nitrogen on the 4H-SiC/SiO2 Interface Studied with Variable Resonance Frequency Spin Dependent Charge Pumping." Materials Science Forum 924 (June 2018): 469–72. http://dx.doi.org/10.4028/www.scientific.net/msf.924.469.

Full text
Abstract:
In this work, we study the effects of NO anneals on the interface of 4H-SiC MOSFETs via spin dependent charge pumping, an electrically detected magnetic resonance technique. We make measurements at high and ultra-low resonance frequencies. Our results indicate that the NO anneals both change the silicon vacancy energy levels as well as induces disorder at the interface. In addition, our results indicate that the changes in energy levels involve N atoms very close to VSi sites.
APA, Harvard, Vancouver, ISO, and other styles
35

Hart, Michael J., Alan G. R. Evans, and Gehan A. J. Amaratunga. "Transient Scanning Electron Beam Annealing Methods Used to Study Diffusion and Defects in Implanted Silicon." MRS Proceedings 71 (1986). http://dx.doi.org/10.1557/proc-71-429.

Full text
Abstract:
AbstractRapid thermal annealing of arsenic and boron difluoride implants, such as those used for source/drain regions in CMOS, has been carried out using a scanning electron beam annealer, as part of a study of transient diffusion effects. Three types of e-beam anneal have been performed, with peak temperatures in the range 900-1200°C; the normal isothermal e-beam anneals, together with sub-second fast anneals and ‘dual-pulse’ anneals, in which the sample undergoes an isothermal pre-anneal followed by rapid heating to the required anneal temperature in less than O.5s.The diffusion occuring during these anneal cycles has been modelled using SPS−1D, an implant and diffusion modelling program developed by one of the authors. This has been modified to incorporate simulated temperature vs. time cycles for the anneals. Results are presented applying the usual equilibrium clustering model, a transient point-defect enhancement to the diffusivity proposed recently by Fair and a new dynamic clustering model for arsenic. Good agreement with SIMS measurements is obtained using the dynamic clustering model, without recourse to a transient defect model.Defects remaining in diodes fabricated with the forementioned implants after these anneal cycles have been studied using DLTS techniques. Trap densities for the three types of e-beam anneal are comparable to those for furnace annealed diodes, as are the reverse leakage currents measured (typically 2–5nA for a 0.85mm2 diode at -5V).
APA, Harvard, Vancouver, ISO, and other styles
36

Knoch, Lynnita, N. David Theodore, Gordon Tam, and Ron Pennell. "Characterization of Arsenic-Implanted Amorphous Silicon." MRS Proceedings 258 (1992). http://dx.doi.org/10.1557/proc-258-81.

Full text
Abstract:
ABSTRACTIn this study, amorphous silicon and polycrystalline silicon films were implanted with arsenic and subjected to varied low temperature (<900°C) anneal conditions and characterized using TEM. The microstructure is of interest for later correlation with electrical measurements. The amorphous deposition produces larger, more irregular grains with more strain than does the polysilicon deposition for a single-step rapid thermal anneal (RTA) cycle. This can be explained by the number of critical nucleii and the rate of grain growth. The sheet resistivity, as measured by four-point probe, correlates to the deposition conditions. A two-stage anneal makes the grains less irregular by reducing the roughness of the grains and decreasing the strain in the grains. For a given deposition condition, the final microstructure is most strongly influenced by the first anneal. The second anneal produces no clear change in grain size. 800°C anneals result in larger grains than 900°C anneals. This is explained by the presence of less critical nucleii for 800°C anneals. In comparing short and long durations of RTA, the short duration produced slightly larger grains than the long duration RTA due to greater nucleation in the longer RTA wafers. In the case of RTA versus furnace anneals, RTA produces larger, more irregular grains, with more strain in the grains. A model in terms of the size of critical nucleii is used to explain the difference.
APA, Harvard, Vancouver, ISO, and other styles
37

Wouters, D., D. Avau, P. Mertens, and H. E. Maes. "Comparison of Short Time Annealing of Implanted Silicon Layers With Tungsten-Halogen Lamp and Mercury Arc Lamp Sources." MRS Proceedings 52 (1985). http://dx.doi.org/10.1557/proc-52-217.

Full text
Abstract:
ABSTRACTShort time anneal (STA) experiments were performed in a specially designed system which uses either a bank of Tungsten-Halogen lamps or a moving Mercury arc lamp as the light source. Both STA results were compared with conventional furnace anneal. The Mercury arc lamp anneal is found to be a critical process but optimization for wafer damage free conditions can be achieved. The anneals result in general in a better profile control which is most outspoken for shallow Boron implants while the electrical activation is comparable for all elements to that obtained with Tungsten-Halogen or furnace anneal.
APA, Harvard, Vancouver, ISO, and other styles
38

Lilienfeld, D. A., and P. Børgesen. "Effects of High Temperature Process Steps on Void Size Distributions in Passivated, Narrow Aluminum Lines." MRS Proceedings 239 (1991). http://dx.doi.org/10.1557/proc-239-707.

Full text
Abstract:
ABSTRACTNarrow Al lines were passivated at 300°C and subsequently annealed at 400°C for an hour. The passivation layer was then removed and the lines analyzed for thermal stress induced voids. Effects of the multiple high temperature process steps necessary in chip manufacturing were simulated by repeated anneals. Renewed void nucleation occurred during cool-down from each anneal, leading to a systematic increase in void density. In contrast, the maximum void size did not increase with number of anneals while it did increase with aging time for a single anneal.
APA, Harvard, Vancouver, ISO, and other styles
39

Miner, B., E. A. Atakov, A. Shepela, and S. Bill. "Microstructural Evolution of Aluminum Interconnects During Post-Pattern Anneals: Correlation to Improved Em Lifetime." MRS Proceedings 338 (1994). http://dx.doi.org/10.1557/proc-338-333.

Full text
Abstract:
ABSTRACTThe number of Al triple point junctions (Ntp) correlates inversely to electromigration lifetimes for partially bamboo interconnects that fail by grain boundary (GB) diffusion. This work emphasizes the evolution of statistical microstructural parameters, Ntp and cluster length distribution, during post-pattern anneals. In addition to statistical measures, the structure of specific clusters before and after anneal is compared from TEM images of the same area of the same sample.Each post-pattern anneal lowers Ntp and shortens the length of individual polycrystalline segments, but with diminishing returns for subsequent anneals. With a TiN capping layer, the statistical microstructural improvement is less but the longest clusters, those most probable as failure sites, lose triple points during anneal. The distribution of cluster lengths is characteristic for a process.
APA, Harvard, Vancouver, ISO, and other styles
40

Herner, S. B., V. Krishnamoorthy, H. G. Robinson, and K. S. Jones. "The Effect of Titanium Silicidation on Type II End-of-Range Dislocation Loops." MRS Proceedings 337 (1994). http://dx.doi.org/10.1557/proc-337-469.

Full text
Abstract:
ABSTRACTThe shrinkage in type II end-of-range dislocation loops was used to determine the relative flux of vacancies from the silicidation of titanium on silicon (100) wafers. A low temperature anneal (700°C 20 min.) in a forming gas ambient formed the initial silicide (approximately 750 Å thick), and several higher temperature anneals with the silicide intact and etched off followed to study the diffusion of the vacancies. The concentration of vacancies captured by the loops after a 900°C 60 minute post silicidation anneal was approximately 7.8 x 1013 /cm2 while the concentration after a 700°C 60 minute post silicidation anneal was only 9 x 1012 /cm2. Removal of the silicide prior to the high temperature post silicidation anneals resulted in fewer vacancies captured by the loops.
APA, Harvard, Vancouver, ISO, and other styles
41

Thomas, Chris, Crawford Taylor, James Griffin, William L. Rose, M. G. Spencer, Mike Capano, S. Rendakova, and Kevin Kornegay. "Annealing of Ion Implantation Damage in SiC Using a Graphite Mask." MRS Proceedings 572 (1999). http://dx.doi.org/10.1557/proc-572-45.

Full text
Abstract:
ABSTRACTFor p-type ion implanted SiC, temperatures in excess of 1600 °C are required to activate the dopant atoms and to reduce the crystal damage inherent in the implantation process. At these high temperatures, however, macrosteps (periodic welts) develop on the SiC surface. In this work, we investigate the use of a graphite mask as an anneal cap to eliminate the formation of macrosteps. N-type 4H- and 6H-SiC epilayers, both ion implanted with low energy (keV) Boron (B) schedules at 600 °C, and 6H-SiC substrates, ion implanted with Aluminum (Al), were annealed using a Graphite mask as a cap. The anneals were done at 1660 °C for 20 and 40 minutes. Atomic force microscopy (AFM), capacitance-voltage (C-V) and secondary ion mass spectrometry (SIMS) measurements were then taken to investigate the effects of the anneal on the surface morphology and the substitutional activation of the samples. It is shown that, by using the Graphite cap for the 1660 °C anneals, neither polytype developed macrosteps for any of the dopant elements or anneal times. The substitutional activation of Boron in 6H-SiC was about 15%.
APA, Harvard, Vancouver, ISO, and other styles
42

Djamei, M., E. V. K. Rao, and P. Krauz. "Anneal Behavior of Zn Implanted InP : Furnace and Rapid Thermal Anneals." MRS Proceedings 92 (1987). http://dx.doi.org/10.1557/proc-92-455.

Full text
Abstract:
ABSTRACTIt is shown here that high-dose Zn implants in semi-insulating InP substrates, after conventional furnace anneals lead to the following anomalies : step-like atomic Zn and carrier distributions and the presence of electrically inactive Zn at all depths of the profiles.Zn anneal behavior is compared using conventional furnace anneals, and two different types of short-time anneals ; rapid furnace anneals(RFA)at ∼750°C with a rise-time of ∼ 50 s, and halogen lamp rapid thermal anneals (RTA)also at ∼ 750°C with a rise-time of ∼ 4 s. We have established that the Zn anomalous diffusion is an instantaneous phexomenon occuring during annealing transients. Also, we have further shown that RTA would help to smooth carrier profiles by removing the step in carrier (but not in atomic Zn) distribution.The properties of differently Zn co-implanted layers (Zn plus P, Zn plus In and Zn plus As) after RTA, and of P or In implanted Zn doped InP substrates after different anneals,have been studied. We have shown that the presence of electrically inactive Zn in the depth of the layers is a consequence of interaction between Zn atoms and defects. A simple model based on the density of damage deposited in the layer during implant, has been proposed and discussed.
APA, Harvard, Vancouver, ISO, and other styles
43

Fiory, A. T. "Rapid Thermal Annealing and Oxidation of Silicon Wafers with Back-Side Films." MRS Proceedings 470 (1997). http://dx.doi.org/10.1557/proc-470-49.

Full text
Abstract:
ABSTRACTTemperatures for lamp-heated rapid thermal processing of wafers with various back-side films were controlled by a Lucent Technologies pyrometer which uses a/c lamp ripple to compensate for emissivity. Process temperatures for anneals of arsenic and boron implants were inferred from post-anneal sheet resistance, and for rapid thermal oxidation, from oxide thickness. Results imply temperature control accuracy of 12°C to 17°C at 3 standard deviations.
APA, Harvard, Vancouver, ISO, and other styles
44

Williams, J. R., T. Isaacs-Smith, S. Wang, C. Ahyi, R. M. Lawless, C. C. Tin, S. Dhar, et al. "Passivation of Oxide Layers on 4H-SiC Using Sequential Anneals in Nitric Oxide and Hydrogen." MRS Proceedings 786 (2003). http://dx.doi.org/10.1557/proc-786-e8.1.

Full text
Abstract:
ABSTRACTThe interface passivation process based on post-oxidation, high temperature anneals in nitric oxide (NO) is well established for SiO2 on (0001) 4H-SiC. The NO process results in an order of magnitude or more reduction in the interface state density near the 4H conduction band edge. However, trap densities are still high compared to those measured for Si / SiO2 passivated with post-oxidation anneals in hydrogen. Herein, we report the results of studies for 4H-SiC / SiO2 undertaken to determine the effects of additional passivation anneals in hydrogen when these anneals are carried out following a standard NO anneal. After NO passivation and Pt deposition to form gate contacts, post-metallization anneals in hydrogen further reduced the trap density from approximately 1.5 × 1012 cm−2eV−1 to about 6 × 1011 cm−2eV−1 at a trap energy of 0.1 eV below the band edge for dry thermal oxides on both (0001) and (11–20) 4H-SiC.
APA, Harvard, Vancouver, ISO, and other styles
45

Lipkin, Lori, Mrinal Das, and John Palmour. "Challenges and State-of-the-Art of Oxides on SiC." MRS Proceedings 640 (2000). http://dx.doi.org/10.1557/proc-640-h3.1.

Full text
Abstract:
ABSTRACTSingle crystal SiC is a wide band-gap semiconductor with material characteristics that make it quite suitable for high voltage and high current applications. However, these devices are currently limited by their passivation. Significant improvements have been made with oxides on SiC. The most notable oxide processes are the re-oxidation anneal, a stacked ONO dielectric, and nitridation using an NO or N2O anneal. Additional improvements in lateral MOSFET mobility have been achieved using a surface channel implant, and lower temperature implant activation anneals. However, the passivation remains a significant limitation for SiC power devices.
APA, Harvard, Vancouver, ISO, and other styles
46

Smith, D. A., R. A. McMahon, H. Ahmed, and D. J. Godfrey. "Millisecond Duration Annealing of Boron Implants in Silicon." MRS Proceedings 100 (1988). http://dx.doi.org/10.1557/proc-100-725.

Full text
Abstract:
ABSTRACTA dual electron beam machine has been used to anneal boron implanted layers in order to study the diffusion and activation behaviour over a wide range of doses. The annealed implants have been characterized by spreading resistance profiling and secondary ion mass spectroscopy (SIMS). Carrier concentration profiles show that millisecond duration anneals can activate boron implants. A boron dose of 1E16 ions/cm2 was annealed to give a sheet resistance of 30 Ωsq with 40% of the implant activated. The SIMS technique showed there were no significant differences between the atomic profiles of the as-implanted samples and specimens subjected to a millisecond anneal or to a low temperature 850°C rapid isothermal anneal for 10s.
APA, Harvard, Vancouver, ISO, and other styles
47

Earles, Susan, Mark Law, Kevin Jones, Rich Brindos, and omit Talwar. "Effects of Nonmelt Laser Annealing on a 5keV Boron Implant in Silicon." MRS Proceedings 610 (2000). http://dx.doi.org/10.1557/proc-610-b10.5.

Full text
Abstract:
AbstractTo investigate the effects of ramp rate on the transient enhanced diffusion of boron in silicon, laser thermal processing (LTP) in the nonmelt regime has been investigated. A nonmelt laser anneal has been performed on a 5 keV, 1e15 boron implant. The implant energy of 5keV was chosen to simplify analysis. A rapid thermal anneal (RTA) at 1000°C and furnace anneals at 750 °C were used to show the effect of post annealing on the LTPd samples. Results show the sheet resistance drops by up to a factor of two for samples receiving the nonmelt LTP and the RTA compared with the samples just receiving the RTA. An increase in the hall mobility was also observed for the samples receiving the LTP. The nonmelt LTP was also shown to strongly affect the extended defect density. During post anneals, a higher density of smaller defects evolved in the samples receiving the LTP.
APA, Harvard, Vancouver, ISO, and other styles
48

Tetreault, Thomas G., Yan Shao, Mengbing Huang, and John Hautala. "Gas Cluster Ge Infusion for Si(1−x)Ge(x)Strained-Layer Applications." MRS Proceedings 958 (2006). http://dx.doi.org/10.1557/proc-0958-l10-07.

Full text
Abstract:
ABSTRACTMaterials processing with a gas cluster ion beam (GCIB) is an emerging technology that has been shown to produce novel material properties in the very near-surface (<40nm) regime. We have termed the process of doping with GCIB, infusion. Its principal characteristic enables room temperature shallow processing with high concentration gradients and no sharp interfaces. Results are presented from an investigation of GCIB GeH4 infusion into Si(100) substrates for the purpose of producing a strained Si(1-x)Ge(x) layer relative to the Si substrate. The as-infused samples exhibit surface Ge concentrations up to 100%, with a steep concentration gradient over ∼40 nm. Specifically, a broad series of post-processing tube-furnace anneals was investigated over a temperature range of 400 °C to 1200 °C and the samples were analyzed by channeling/RBS to look at quality of recrystallization and for evidence of strain in the lattice. Some anneal schedules included a VLTA (very low temperature anneal) first step followed by subsequent HTA (high temperature anneal). Evidence is shown for improved results with no Ge loss using two-step anneals. Channeling analysis was performed along the <100> and <110> directions relative to the Si(100) substrate. Measurements of the min for both the Ge and Si were made to assess crystal quality and to determine the degree of epitaxial alignment with the Si lattice. High-resolution axial scans along <110> were performed on selected samples to look for strain relative to the Si lattice. SIMS analysis of higher temperature anneals showed significant diffusion of Ge. Some samples were also examined by cross-sectional TEM. The best infusion samples show crystal quality comparable to a commercially available epi-grown Si75Ge25 control sample.
APA, Harvard, Vancouver, ISO, and other styles
49

Wang, Albert W., and Krishna C. Saraswat. "Passivation of Poly-Si Thin-Film Transistors With Ion-Implanted Deuterium." MRS Proceedings 508 (January 1998). http://dx.doi.org/10.1557/proc-508-85.

Full text
Abstract:
AbstractA comparison of ion-implanted deuterium and ion implanted hydrogen for passivation of grain boundary states in poly-Si thin film transistors (TFTs) is presented for the first time. Gate-drain bias stressing was carried out after anneals at 350 °C, 400 °C, and 450 °C. Under stress, deuterated TFTs are more resistant to bias stress than hydrogenated TFTs in terms of most PMOS performance parameters and NMOS leakage current, but are markedly less resistant to NMOS threshold degradation. While still showing promise, these results are not as impressive as those shown for hot carrier degradation in very large scale integration (VLSI) MOS devices. After 350 °C activation anneal, deuterium is less effective than hydrogen at initial device passivation, probably as a result of excessive implant damage. After anneals at 400 or 450 °C, deuterium becomes more effective at passivation than hydrogen, possibly due to differences in diffusion between hydrogen and deuterium.
APA, Harvard, Vancouver, ISO, and other styles
50

Phillips, Julia M., J. E. Palmer, N. E. Hecker, and C. V. Thompson. "The Effect of Annealing on the Structure of Epitaxial CaF2 Films on Si(100)." MRS Proceedings 148 (1989). http://dx.doi.org/10.1557/proc-148-191.

Full text
Abstract:
ABSTRACTWe have studied the effect of different annealing conditions on the crystallinity and morphology of 1000Å epitaxial CaF2 films on Si(100). The crystallinity of the films is improved by the anneals, with the highest anneal temperatures giving the greatest improvement. The results are consistent with the model previously proposed to explain the success of rapid thermal annealing in improving thicker epitaxial CaF2 films.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography