Дисертації з теми "ALD precursors"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: ALD precursors.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-42 дисертацій для дослідження на тему "ALD precursors".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Rönnby, Karl. "A computational study on indium nitride ALD precursors and surface chemical mechanism." Thesis, Linköpings universitet, Kemi, 2018. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-144426.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Indium nitride has many applications as a semiconductor. High quality films of indium nitride can be grown using Chemical Vapour Deposition (CVD) and Atomic Layer Deposition (ALD), but the availability of precursors and knowledge of the underlaying chemical reactions is limited. In this study the gas phase decomposition of a new indium precursor, N,N-dimethyl-N',N''-diisopropylguanidinate, has been investigated by quantum chemical methods for use in both CVD and ALD of indium nitride. The computations showed significant decomposition at around 250°C, 3 mbar indicating that the precursor is unstable at ALD conditions. A computational study of the surface chemical mechanism of the adsorption of trimethylindium and ammonia on indium nitride was also performed as a method development for other precursor surface mechanism studies. The results show, in accordance with experimental data, that the low reactivity of ammonia is a limiting factor in thermal ALD growth of indium nitride with trimethylindium and ammonia.
2

O'Kane, Ruairi. "New precursors for the deposition of Hf02 and ZrO2 by MOCVD and ALD." Thesis, University of Liverpool, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.485849.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Zr02 and Hf02 are two high-pemitivity (lC) dielectric oxides that are currently being investigated as alternatives to Si02 as the dielectric insulating layer in subO. lflm CMOS technolqgy and capacitor layers in .the next generation DRAM. Metal organic chemical vapour deposition (MOCVD) and atomic layer deposition (ALD) are promising techniques for the deposition of the these high-lC dielectric oxides. This study describes the preparation ofnew metal-alkoxides, metallocenes . and ansa-bridged metilllocenes of zirconium and hafnium for use as precursors in MOCVD and ALD. The structure of the metal-alkoxides [Zr(OIBu)2(dmop)2] (1), [Hf(OIBu)2(dmop)2] (2), [Hf(dmop)4] (3), [Hf(OIBu)2(dmoph)2] (4), [Hf2(flr O)(dmoph)6] (7), [Zr(mph)4] (8), [Hf(mph)4] (9), [Hf2(mph)6][(CH3)2NH2] (10) have been determined by single crystal X-ray diffraction (XRD). The preparation of a series of metallocenes of hafnium is described [Cp2HfMe(OMe)] (11), [Cp2HfMe(OEt)] (12), [Cp2HfMe(Oipr)] (13), [Cp2Hf(OMe)2] (14), [Cp2Hf(OEt)2] (15), [Cp2Hf(Oipr)2] (16), [Cp2Hf(OIBu)2] (17), [Cp2Hf(mmp)2] (18), [(Me-Cp)2HfMe(OMe)] (19), [(Me-Cp)2HfMe(OEt)] (20), [(MeCp) 2HfMe(Oipr)] (21), [(Me-Cp)2HfMe(OIBu)] (22), [(Me-Cp)2HfMe(mmp)] (23) and the structure of [Hf(T\5-C5~)2Cl(CH3)] (24) has been determined by single crystal XRD. Chapter 4 describes the synthesis of the. ansa-bridged and hafnium [Zr{H2C(C5~)2}Me2] (25), [Hf{H2C(C5~)2}Me2] (26), [Zr{(CH3)C(C5~)}(CH3)2] (27), and [Hf{(CH3)C(C5~)}(CH3)2] (28). This project involves the investigation of a range new zirconium and hafnium alkoxides and metallocenes with the aim of developing improved MOCVD . and ALD precursors.
3

Wrench, Jacqueline Samantha. "Synthesis of Ce(IV) and Ti(IV) alkoxides for use as precursors for MOCVD and ALD." Thesis, University of Liverpool, 2011. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.569253.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
A synthetic route for the general synthesis of Ce(IV) alkoxides is described utilising a reaction with eerie ammonium nitrate and tert-butoxide to create the in situ intermediate [Ce(OBut)4] by means of salt metathesis. A further alkoxy- exchange reaction with the desired alkoxide resulted in the formation of alkoxide complexes [Ce(L)4] (L = mmp, dmae, dmap, dmop, dmomp). The compounds [Ce(mmp)4], [Ce(dmap)4] and [Ce(dmop)4] were characterised crystallographically. [Cetdmae)»] was shown to decompose over time and gave a crystal structure of the cluster [Ce14(dmae)22(N03)2O14(OH)4]. DmomH was found to be an unsuitable ligand for the formation of a homoleptic complex. A range of heteroleptic complexes were also synthesised by reaction of 2 equivalents of an alkoxide (dmop, dmom, dmomp) or β-diketonate ligand (thd, dbm) with the complexes [Ce(rnmp)4] and [Ce(dmap)4]. The thd ligand was found to be too strong a donor to make a heteroleptic complex resulting exclusively in the formation of [Ce(thd)4]. These reactions yielded the complexes [Ce(mmp)(L)2] (L=dbm, dmom, dmomp) and [Ce(dmap)2(dbm)2]. The reaction between [Ce(mmp)4] and 2 equivalents of dmop gave the dimer [Ce2(mmp)2(dmop)4O] which was characterised crystallographically. [Ce( mmp )4] and [Ce(dmap)4] were tested for use as precursors for the liquid- injection MOCVD and ALD of Ce02 thin films on a Si(100) substrate. The results were compared with the known precursors [Ce(thd)4] and [Ce(OC(CH3)2Pr')4], [Ce(thd)4] being the most commonly used precursor for Ce02 thin film growth. Both new precursors were found to be more volatile and have a temperature window of 200-600 QC for MOCVD. [Ce(dmap)4] showed growth at temperatures as low as 100 QC in ALD though was susceptible to decomposition via CVD processes at 250 QC. [Ce(mmp)4] was self-limiting over the temperature range of 150-350 QC. A range of heteroleptic Ti(IV) alkoxide complexes were also synthesised by reaction of either [Ti(OBut)4] or [Ti(OPri)4] with 2 equivalents of the desired alkoxide in an alkoxy exchange reaction to give complexes with the formula [Ti(OR)2(L)2] (R = But, Pri, L = dmae, mmp, dmop, dmom). [Ti(OPri)2(dmop)2] and [Ti(OBut)2( dmop )2] were characterised crystallographically. These compounds were used as precursors for the MOCVD of anatase Ti02 nanostructures on Si(100) and F -doped Sn02 substrates. [Ti(OPri)2( dmae )2] provided the optimum conditions of growth on both substrates at 450 QC for 180 minutes giving full coverage and uniform nanostructures. The precursor also interestingly grew nanorods at 600 QC on Si(100).
4

Pavard, Paul-Alexis. "Développement d’une méthode innovante d’identification de précurseurs ALD pour un matériau-cible : cas du sulfure de gallium." Thesis, Sorbonne université, 2021. https://accesdistant.sorbonne-universite.fr/login?url=https://theses-intra.sorbonne-universite.fr/2021SORUS524.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L’ALD est un procédé chimique efficace de déposition de couches minces en phase vapeur, bien que certains matériaux restent encore inaccessibles notamment par un manque de précurseurs adaptés. Les nombreux critères qu’ils doivent remplir (volatilité, stabilité thermique, réactivité) rendent difficile la prédiction du comportement de potentiels candidats. La mise au point d’une méthode d’évaluation des propriétés physicochimiques permettrait d’accélérer l’accès à de nouveaux précurseurs ALD et de mieux comprendre les réactions en surface. Ce travail s’intéresse au développement de précurseurs pour le dépôt de sulfure de gallium. Des synthèses modulaires de complexes possédant des ligands azotés (guanidinate, amidinate, triazénide) donnent accès à des librairies de complexes, caractérisés structurellement (RMN, DRX) et thermiquement (ATG, DSC) et permettent des corrélations entre structures et propriétés thermiques. Des études de réactivité en solution et la comparaison à des réactivités ALD connues ont permis de valider une étape supplémentaire de sélection. Cette méthodologie a permis d’identifier quelques composés prometteurs parmi la trentaine synthétisée
ALD is an efficient chemical vapour phase process for the deposition of thin films, although some materials are still inaccessible due to a lack of suitable precursors. The numerous criteria they must meet (volatility, thermal stability, reactivity) make it difficult to predict the behaviour of potential candidates. The development of a method for evaluating physicochemical properties would accelerate access to new ALD precursors and improve understanding of surface reactions. This work focuses on the development of precursors for gallium sulphide deposition. Modular syntheses of complexes with nitrogen ligands (guanidinate, amidinate, triazenide) give access to libraries of complexes, characterised structurally (NMR, XRD) and thermally (ATG, DSC) and allow correlations between structures and thermal properties. Reactivity studies in solution and comparison with known ALD reactivites allowed to validate an additional selection step. This methodology allowed to identify a few promising candidates among the thirty or so synthesised
5

Sharma, Varun. "Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films." Master's thesis, Saechsische Landesbibliothek- Staats- und Universitaetsbibliothek Dresden, 2015. http://nbn-resolving.de/urn:nbn:de:bsz:14-qucosa-166627.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft
Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature
6

Baunemann, Arne. "Precursor chemistry of tantalum and niobium nitride for MOCVD and ALD applications." [S.l.] : [s.n.], 2006. http://deposit.ddb.de/cgi-bin/dokserv?idn=982633009.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Siegert, Uwe. "Silber(I)- und Kupfer(I) – Precursoren für CVD, ALD und Spin-Coating Prozesse." Doctoral thesis, Universitätsbibliothek Chemnitz, 2010. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-201000265.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Die vorliegende Arbeit beschäftigt sich mit der Synthese von Phosphan-Kupfer(I)- und Silber(I)-Thiocarboxylaten der Art [(nBu3P)mMSC(O)R] (m = 2, 3; M = Cu, Ag; R = Me, Ph). Die Verbindungen wurden in Hinsicht auf ihr Potential zur thermischen Abscheidung dünner Schichten untersucht. Weiterhin befasst sich diese Arbeit mit der Darstellung von Silber(I)- und Kupfer(I)-Carboxylaten, die im organischen Rest mindestens eine zusätzliche Donorfunktion besitzen ([(nBu3P)mMO2CR]; m = 1, 2; M = Ag, Cu; R = ungesättigter organischer Rest, CH2O(CH2)2OCH3). Das thermische Verhalten und die Anwendbarkeit dieser Komplexe zur Abscheidung dünner Metallschichten mittels CVD-Verfahren wurden untersucht. Das Verhalten von Phosphan-Silber und -Kupfer-Verbindungen in Lösung wurde mittels dynamischer NMR-Spektroskopie untersucht. Dazu wurden phosphankoordinierte Silber(I)- und Kupfer(I)-Acetate als Modellsystem benutzt und mit einem ausgewählten Vertreter der ungesättigten Carboxylate verglichen.
8

Dhakal, Dileep, Thomas Waechtler, Schulz Stefan E, Robert Mothes, Heinrich Lang, and Thomas Gessner. "In-situ XPS Investigation of the Surface Chemistry of a Cu(I) Beta-Diketonate Precursor and the ALD of Cu2O." Universitätsbibliothek Chemnitz, 2014. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-147534.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This poster was presented in the Materials for Advanced Metallization (MAM) 2014 Conference in Chemnitz, Germany. Abstract: Atomic Layer Deposition (ALD) has emerged as an ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)], has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. However, still many questions are unanswered regarding the underlying surface chemistry of the precursor on many substrates, leading to different growth modes during ALD. In this work, the surface chemistry of [(nBu3P)2Cu(acac)] on SiO2 substrate is investigated by in-situ X-ray photoelectron spectroscopy (XPS), reporting vital information about the oxidation state and the atomic concentration after chemisorption on the substrates kept at different temperatures. The aim of the investigation is to understand the stepwise change in the precursor oxidation state with increasing substrate temperature and to identify the temperature limit for the thermal ALD with this Cu precursor on SiO2. For the experiments, the Cu precursor was evaporated on SiO2 substrates kept at temperatures between 22 °C and 300 °C. The measured C/Cu and P/Cu concentration indicated that most of the nBu3P ligands were released either in the gas phase or during adsorption (Fig. 1a). No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. Similarly, in this temperature range the Auger parameter calculated from Cu 2p3/2 and Cu L3VV spectra was found to be 360.0±0.2 eV, comparable to Cu(I) oxidation state [3]. However, disproportionation of the Cu precursor was observed above 200 °C, since C/Cu concentration ratio decreased and substantial metallic Cu was present on the substrate. Hence, 145 °C is the temperature limit for the ALD of Cu2O from this precursor, as the precursor must not alter its chemical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle, comparable to previous experiments. References: [1] T. Waechtler, S. Oswald, N. Roth, A. Jakob, H. Lang, R. Ecke, S. E. Schulz, T. Gessner, A. Moskvinova, S. Schulze, M. Hietschold, J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler, S. -F. Ding, L. Hofmann, R. Mothes, Q. Xie, S. Oswald, C. Detavernier, S. E. Schulz, X. -P. Qu, H. Lang, T. Gessner, Microelectron. Eng., 88, 684 (2011). [3] J. P. Espinós, J. Morales, A. Barranco, A. Caballero, J. P. Holgado, A. R. González Elipe, J. Phys. Chem. B, 106, 6921 (2002).
9

Rego, Raquel Ferreira. "Estudo comparativo de precursores da PpIX (ALA e MAL) utilizados topicamente em terapia fotodinâmica." Universidade Federal de São Carlos, 2008. https://repositorio.ufscar.br/handle/ufscar/6945.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Made available in DSpace on 2016-08-17T18:39:29Z (GMT). No. of bitstreams: 1 2220.pdf: 1450706 bytes, checksum: 0253030468c7f8632d10be1f594463aa (MD5) Previous issue date: 2008-08-08
Universidade Federal de Sao Carlos
Photodynamic therapy (PDT) is a modality for treatment of tumors, and uses a combination of a drug (photosensitizer) and light in the presence of the molecular oxygen to selectively damage target tissue. In the absent of one of these components, the cytotoxic effect is not observed. Since 1990, many works in the literature studies the topical application of precursors of protoporphyrin IX (PpIX) in PDT, such 5- aminolevulinic acid (ALA) and methyl aminolevulinate (MAL). The purpose of this work was realized an comparative study in vivo between two commercial and available drugs precursors of PpIX, the ALAsense (5-aminolevulinic acid - ALA) from Russian and Metvix (methyl aminolevulinate MAL) from United Kingdom. Experiments were carried out in animals to analyze the performance and the ALA photodynamic MAL in liver of rats. The fluorescence spectra of the liver were collected at pre-determined time. The time of accumulation of PpIX was observed by 2 hours and 45 minutes for the ALA and MAL for 4 hours after application of drugs in the liver. The formation, accumulation and depth of penetration of PpIX in liver tissue were determined by fluorescence spectroscopy. Using a total of 21 animals were the irradiation of the liver fotossensibilizado with ALA or MAL alone with different doses of light (20, 50, 100 and 200J/cm2) or in a combination MAL + ALA to 8%, 16% and 32 dose of 100J/cm2. Thirty hours after the lighting, the animals were killed and livers removed. The area of necrosis of the liver was assessed macroscopically and the samples were prepared for histological study, considering especially the aspects and depth of necrosis. In histological analysis were carried out many aspects of necrosis and the normal liver. The depths of necrosis were measured and the threshold dose obtained using a mathematical model proposed in the literature. Moreover, the monitoring was carried out of O2 consumption of mitochondria isolated from livers of rats, after topical administration of drugs precursors of PpIX (ALA and MAL) in order to check the influence of these substances in mitochondrial bioenergetics. The results showed a higher penetration of MAL in the tissue, as well as greater depth of necrosis when compared to the ALA. These results suggest that MAL has a tendency to better photodynamic response than ALA to the criteria studied.
Terapia Fotodinâmica (TFD) é uma modalidade terapêutica para tratamento de tumores que provoca a destruição do tecido alvo através da combinação de uma droga (fotossensibilizador) e uma fonte de luz na presença de oxigênio molecular. Na ausência de algum desses componentes, o efeito citotóxico não é observado. Desde 1990, têm-se estudado a aplicação tópica de substâncias precursoras da protoporfirina IX (PpIX) associada à TFD, como o ácido 5-aminolevulínico (ALA) e o metil aminolevulinato (MAL). O objetivo do presente trabalho foi realizar um estudo comparativo in vivo entre duas substâncias precursoras da PpIX , o ALAsense (ácido 5-aminolevulínico - ALA) da Rússia e o Metvix (metil aminolevulinato MAL) do Reino Unido. Foram realizados experimentos em animais para analisar o desempenho fotodinâmico ALA e pelo MAL em fígado de ratos. Os espectros de fluorescência do fígado foram coletados em tempos prédeterminados. O tempo de acúmulo da PpIX observado foi de 2 horas e 45 minutos para o ALA e 4 horas para o MAL após a aplicação da droga no fígado. A formação, acúmulo e a profundidade de penetração da PpIX no tecido hepático foram determinados através da espectroscopia de fluorescência. Utilizando um total de 21 animais foi realizada a irradiação do fígado fotossensibilizado com ALA ou com MAL isoladamente com diferentes doses de luz (20, 50, 100 e 200J/cm2) ou na forma combinada MAL + ALA a 8%, 16 e 32% com dose de 100J/cm2. Trinta horas após a iluminação, os animais foram mortos e os fígados removidos. A área necrosada do fígado foi avaliada macroscopicamente e as amostras foram preparadas para o estudo histológico, considerando, principalmente, os aspectos e a profundidade da necrose. Na análise histológica realizada foram observados vários aspectos da necrose e da região normal do fígado. As profundidades de necrose foram medidas e a dose limiar obtida utilizando-se um modelo matemático proposto na literatura. Além disso, foi realizado o monitoramento do consumo de O2 de mitocôndrias isoladas de fígados de ratos, após administração tópica dos medicamentos precursores da PpIX (ALA e MAL) afim de verificar a influência dessas substâncias na bioenergética mitocondrial. Os resultados obtidos mostraram uma maior penetrabilidade do MAL no tecido, bem como uma maior profundidade de necrose quando comparado ao ALA. Esses resultados sugerem que o MAL possui uma tendência a melhor resposta fotodinâmica que o ALA para os critérios estudados.
10

Sadrnourmohamadi, Mehrnaz. "Removal of trihalomethanes precursors from surface waters typical for Canadian prairie and shield." International water association (IWA), 2013. http://hdl.handle.net/1993/30864.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Many Canadian water treatment plants supplied by surface waters of the Canadian Prairie and Shield have elevated concentrations of trihalomethanes (THMs), which exceed the provincial standards. These water sources are characterized by elevated levels of dissolved organic carbon (DOC) and varying levels of calcium hardness, which causes a challenge for the removal of THMs precursors. The objective of this study was to investigate the effect of two treatment methods: chemical coagulation and pre-ozonation-coagulation on the removal of DOC as the main THMs precursor. Surface waters typical for the Canadian Prairie and Canadian Shield were used in the experiments which includes Assiniboine River, Red River, and Rainy River. The effects of different experimental conditions such as coagulant dose, coagulant type, and solution pH as well as ozone dosage on THMs removal were investigated. The structural and chemical characteristics of natural organic matter and isolated humic substances, before and after the reaction with ozone, were studied using spectroscopic techniques. The results illustrated that the quality of source water (DOC characteristics, concentration of water DOC and Calcium) has a significant impact on THMs reduction by chemical coagulation and ozonation. Coagulation results showed that reduction of total DOC does not guarantee THMFP reduction and chemical coagulation should be optimized to remove the hydrophobic acid fraction which forms most THMs. The removal of DOC by aluminum-based coagulants was affected more by the concentration of polymeric and colloidal aluminum speciation. This finding is especially important for plants supplied by high alkalinity waters where pH adjustment is a serious challenge. The effect of pre-ozonation on coagulation varies depending on the concentration of calcium, which has the ability to form complexes with DOC compounds promoting their removal in coagulation. For the surface water with high levels of organic carbon and calcium hardness, ozonation prior to coagulation was beneficial in terms of DOC reduction. However, it showed the opposite effect on water with high levels of DOC accompanied with low level of calcium hardness. Spectroscopic results showed that ozonation of NOM and humic substances cause a significant reduction of aromatic and highly conjugated compounds (constituting primarily the hydrophobic acid fraction).
February 2016
11

Hanzel, Michalina. "Morphologies and differentiation dynamics of cerebellar granule cell precursors." Thesis, King's College London (University of London), 2017. https://kclpure.kcl.ac.uk/portal/en/theses/morphologies-and-differentiation-dynamics-of-cerebellar-granule-cell-precursors(319d5958-3131-46a2-a041-9ad4f11eaaa8).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Cerebellar granule cell precursors (GCPs) are born at the rhombic lip and migrate dorsally across the cerebellar anlagen to form a secondary germinative epithelium, the external germinal layer (EGL). Here, the precursors undergo a period of transit amplification during which they proliferate extensively to produce the most numerous cell type in the brain. The morphological sequence of events that characterizes the differentiation of GCPs in the EGL is well established. However, no research has correlated GCP morphologies with their differentiation status. In this project, I examine the morphological features and transitions of GCPs in the chicken cerebellum. I label a subset of GCPs with a stable genomic expression of green fluorescent transgene and follow their development within the EGL in static images and using time-lapse imaging. I use immunohistochemistry to observe cellular morphologies of proliferating and differentiating GCPs to better understand their differentiation dynamics. Results reveal that mitotic activities of GCPs are more complex and dynamic than currently appreciated. While most GCPs divide in the outer and middle EGL, some are capable of division in the inner EGL. Some GCPs remain mitotically active during neurite extension and tangential migration and retract their processes prior to each cell division. The mitotically active precursors can also express differentiation markers such as TAG1 and NeuroD1. Therefore, I explore the expression of NeuroD1 on a cellular level in GCPs using its conserved non-coding element and conclude that the levels of NeuroD1 expression can differ between neighbouring GCPs. Further, I explore the function of NeuroD1 in cerebellar development by overexpressing the protein at the rhombic lip at different developmental stages. Results suggest that misexpression of NeuroD1 promotes context-dependent differentiation and can alter cellular behaviour. When misexpressed in GCPs, NeuroD1 leads to premature differentiation, defects in migration and reduced cerebellar size and foliation. Overall, this thesis provides the first characterisation of individual morphologies of mitotically active cerebellar GCPs in ovo and explores in detail the expression and role of the differentiation factor NeuroD1 on the development of rhombic lip derivatives.
12

Fituri, Hisham Saleh. "Synthesis of uracil containing precursors and analogues of cylindrospermopsin." Thesis, Bangor University, 2015. https://research.bangor.ac.uk/portal/en/theses/synthesis-of-uracil-containing-precursors-and-analogues-of-cylindrospermopsin(3d8eff07-e38b-4988-b4d6-b7942a797035).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The thesis covers three topics: i) Synthesis of the uracil D-ring precursor of the cylindrospermopsin alkaloids: this study entailed the preparation of compounds I and II which were shown to be a RHS D-ring precursor in the synthesis of the cylindrospermopsin alkaloids. Compound I was prepared in 3 steps and in 24% overall yield from dibenzylurea whilst II was prepared from either diethyl 1,3-acetonedicarboxylate in 5 steps and 9% overall yield or barbituric acid in 5 steps and 16% overall yield. ii) Preparation of analogues of cylindrospermopsin: the synthesis of the cylindrospermopsin analogue III was achieved in 6 steps and 12% overall yield from the literature compound 2,6-dimethoxypyrimidine-4-carboxaldehyde. iii) Preparation and enzymatic studies on 2.4-dinitrobenzamide pro-drugs: the known pro-drugs IV (X = Cl, Br, I), were prepared and found to be good substrates for the enzyme NfsA NTR. These can thus be considered as alternative pro-drugs to the usually employed CB 1954 in combination with NfsA NTR for human chemotherapy.
13

Roy, Shukolpa Dutta. "Muscle precursor dynamics during growth and repair." Thesis, King's College London (University of London), 2014. https://kclpure.kcl.ac.uk/portal/en/theses/muscle-precursor-dynamics-during-growth-and-repair(0a23f6b9-1b3e-438e-817e-d79e4f052399).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
To date, knowledge of stem cell behavior during growth and muscle regeneration is limited by an inability to follow the repair process over time in vivo. Zebrafish larvae are amenable to in vivo imaging and possess muscle precursor cells (putative muscle stem cells). However, it has not been demonstrated whether the precursor cells marked by Pax7-expression are involved in post-hatched larval muscle growth and repair as in amniotes. With this aim in mind, the dynamics of Pax7+ precursors was quantitated. Myogenin+ precursor cells were also quantified as a marker of differentiation and along with Pax7+ precursors were found to peak preceding myotomal recovery in myodfh261 and wild type wounded fish, providing strong evidence for a role of Pax7+ and Myogenin+ muscle precursors in zebrafish growth and repair. Moreover, the spatiotemporal dynamics of precursors showed distinct proliferation, differentiation and migration behavior based on location in the somite. Roles of the niche at each somitic location are proposed based on the differences observed during growth and repair in the larval zebrafish.
14

Mcausland, Donald Euan Reynolds. "Arynes in synthesis : new reaction and precursor development." Thesis, University of Manchester, 2014. https://www.research.manchester.ac.uk/portal/en/theses/arynes-in-synthesisnew-reaction-and-precursor-development(1f59c4ec-dc6d-487b-9bc4-c5a99d5bc030).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The arylation of readily accessible N-tosyl hydrazones has been achieved using arynes generated in situ under mild conditions. The resulting N-tosyl-N-aryl hydrazones undergo a one-pot Fischer indole reaction on the addition of acid, giving a synthesis of protected indoles that avoids handling unstable intermediates and arylhydrazines. A new route to functionalised 2-(trimethylsilyl)phenyl triflate aryne precursors via Suzuki cross-coupling has been developed. The method allows the incorporation of a wide range of aryl and heteroaryl groups and reactions of arynes generated from these novel precursors have been demonstrated, including a cyclotrimerisation and a fluorenone synthesis. Work was also undertaken on aryne σ-insertion reactions. The addition of benzyne to ynamides was found to result in its net insertion between the nitrogen and acetylene species. The reaction proceeds from attack at the terminal carbon in an analogous manner to C(sp)–O insertions.
15

Dunbar, Charlotte Emily. "Fe65-amyloid precursor protein signalling and Alzheimer's disease." Thesis, King's College London (University of London), 2017. https://kclpure.kcl.ac.uk/portal/en/theses/fe65amyloid-precursor-protein-signalling-and-alzheimers-disease(7a7a8605-20a7-4a78-9048-c47b3cb324ce).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Deposition of Aβ in amyloid plaques and accumulation of hyperphosphorylated tau in neurofibrillary tangles are hallmark pathologies of Alzheimer’s disease. Changes in APP processing alter Aβ generation and are likely to affect APP function, which may also contribute to Alzheimer’s disease. APP binds to adaptor protein Fe65 and one proposed function of this complex is to signal to the nucleus to regulate gene transcription. However, the mechanisms that regulate APP-Fe65 binding and the genes regulated by this pathway are poorly understood. Phosphorylation is a common mechanism for regulating protein-protein interactions and Fe65 is phosphorylated by several kinases, including ERK1/2. The first hypothesis investigated in this thesis is that BDNF signalling, which leads to ERK1/2 activation, stimulates Fe65 phosphorylation to regulate its binding to APP. BDNF was found to induce ERK1/2-dependent phosphorylation of Fe65 and, in a variety of assays including the use of phosphomutants, BDNF-induced phosphorylation of Fe65 was shown to inhibit the binding of Fe65 to APP. Unpublished next generation sequencing of Fe65 knockout mouse brains suggested that Fe65 may affect the wnt signalling pathway, which regulates GSK3β activity. GSK3β is a kinase involved in the hyperphosphorylation of tau in Alzheimer’s disease. The second hypothesis tested in this thesis is that Fe65 regulates genes that are linked to GSK3β activity and tau phosphorylation. RT-qPCR carried out on Fe65 knockout mouse brains and siRNA-treated rat cortical neurons found that expression of wnt receptor Fzd-1 was affected by loss of Fe65. Additionally, loss of Fe65 decreased both GSK3β activity and tau phosphorylation. These results show that Fe65 is involved with APP to function in a key process that can be regulated by BDNF, a treatment previously shown to be neuroprotective in Alzheimer’s disease models. Furthermore, they reaffirm the link between APP and Fe65 and link Fe65 to tau phosphorylation, which may be the first step in understanding the relationship between the two hallmark pathologies of Alzheimer’s disease.
16

Fujita, Alessandra Keiko Lima. "Avaliação do efeito fotodinâmico a partir da associação dos precursores da PPIX (ALA e MAL) em epitélio suíno." Universidade de São Paulo, 2016. http://www.teses.usp.br/teses/disponiveis/18/18158/tde-03102016-160420/.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
A terapia fotodinâmica (TFD) utilizando ácido 5-aminolevulinico (ALA) e derivados em aplicação tópica e, como precursor da protoporfirina IX (PPIX) apresenta alguns limitantes relativos a baixa permeação das substâncias na pele. Comportamento este que afeta a produção e homogeneidade da distribuição da PPIX na superfície e camadas mais profundas da pele. Para resolver essa limitação muitos autores propõem alternativas modificando a molécula do ALA e derivados, bem como modificando as propriedades químicas da fase externa da emulsão (mais hidrofílica ou hidrofóbica) ou então o sistema de entrega para a emulsão. O objetivo desse estudo é avaliar qual a proporção de ALA e metil-5-aminolevulinato (MAL) que quando misturados levam ao aumento da quantidade e uniformidade da formação da PPIX na superfície e em profundidade na pele. Para esse estudo foi realizada análises de fluorescência e histologia. O estudo foi conduzido in vivo e ex vivo usando biópsias de pele de porco cultivadas in vitro. A produção de PPIX foi monitorada utilizando espectroscopia de fluorescência, imagem de fluorescência de campo amplo e microscopia confocal de fluorescência. E para a aplicação da TFD os parâmetros usados foram de 125 mW/cm2 de intensidade e 150 J/cm2 de dose. A análise do dano causado pela irradiação foi realizada por meio de histologia da pele após 24 e 48 horas da aplicação da TFD. O ALA e MAL na concentração de 20% foram misturados nas seguintes proporções: ALA ou M, M2 (80% ALA - 20% MAL), M3 (60% ALA 40% MAL), M4 (50% ALA MAL), M5 (40% ALA 60% MAL), M6 (20% ALA 80% MAL) e MAL como M7. As diferentes proporções foram incorporadas em emulsões óleo em água (O/A) e água em óleo (A/O). De acordo com os resultados, as misturas M3, M4 e M5 mostraram maior produção de PPIX na superfície da pele segundo as medidas de fluorescência em 3h de incubação e, no estudo da cinética mostraram produzir PPIX em menor tempo. No estudo de permeação do creme in vitro em pele ex vivo, por microscopia confocal de fluorescência, observou-se que as misturas M3, M4 e M5 produziram mais PPIX nas camadas da pele do que ALA e MAL. As análises histológicas das misturas apresentaram maior dano fotodinâmico na superfície e profundidade das camadas da pele após a TFD, independente da emulsão. A análise em até 48h observou-se predominantemente a fase do processo de reparo referente à fase inflamatória, mas existem indícios ao longo das análises tanto macroscópicas e histológicas que o processo de reparo referente as fases subsequentes de proliferação e remodelamento estão iniciando-se em paralelo. A mistura M4 em ambas as emulsões apresentou elevada quantidade de formação de PPIX em menor tempo de incubação. M4 em emulsão O/A apresentou menor dano fotodinâmico já que a evolução do processo reparo foi mais rápida sugerindo-se potencial de aplicação em TFD voltado para área cosmética-estética. Já M4 em emulsão A/O levou a um maior dano fotodinâmico já que a evolução do processo de reparo foi mais lenta sugerindo-se potencial de aplicação em TFD voltado para área oncológica e de doenças de pele. De modo geral o estudo proposto apresentou impacto positivo para a otimização da terapia fotodinâmica em aplicação tópica.
Photodynamic therapy (PDT) using 5-aminolevulinic acid and derivatives on topical application and as a precursor of protoporphyrin (PPIX) has some limitations for low permeation of substances into the skin. This behavior affects PPIX production and homogeneous distribution on the surface and deeper layers of the skin. To resolve this limitation, many authors propose alternatives such as modifying the molecule of ALA and its derivatives, as well as changing the chemical properties of the external phase of the emulsion (more hydrophilic or hydrophobic) or the delivery system to the emulsion. The aim of this study is to assess the proportion of ALA and methyl-5-aminolevulinate (MAL) that when mixed leads to an increase in the amount and uniformity of the PPIX formation on surface and deep skin. For this study we performed fluorescence analysis and histology. The studies were conducted in vivo and also using pig skin biopsies (ex vivo) cultured in vitro. The PPIX production was monitored using fluorescence spectroscopy, widefield fluorescence imaging, and fluorescence confocal microscopy. For the application of PDT an intensity of 125 mW/cm2 and a dose 150 J/cm2 were used. Analysis of the damage caused by irradiation was performed through skin histology after 24 and 48 hours after PDT application. ALA and MAL in concentration of 20% were mixed in the following proportions: ALA or M, M2 (80% ALA - 20% MAL), M3 (60% ALA - 40% MAL), M4 (50% ALA - MAL) M5 (40% ALA - 60% MAL), M6 (20% ALA - 80% MAL) MAL and as M7. Different proportions were incorporated in oil-in-water emulsions (O/W) and water-in-oil (W/O). The fluorescence measurements for 3h of incubation showed better PPIX production in the skin surface for mixtures M3, M4 and M5. Moreover, the kinetics study showed PPIX production in less time for these mixtures. In the study of cream permeation of ex vivo skin in vitro by confocal fluorescence microscopy, we observed that the mixtures M3, M4 and M5 produced more PPIX in the skin layers than ALA and MAL. The histological analyses of the mixtures showed higher photodynamic damage on the surface and deeper layers of the skin after PDT, independent of the emulsion. The analysis in 48 hours predominantly observed the phase of the healing process regarding the inflammatory phase but there are signs along both macroscopic and histological analysis that the healing process concerning the subsequent stages of proliferation and remodeling are initiating in parallel. The mixture M4 in both emulsions had high amounts of PPIX formation in shorter incubation time. M4 emulsion O/A showed a lower photodynamic damage since the evolution of the healing process was faster suggesting to potential application in PDT facing cosmetic-aesthetic area. M4 already in W/O emulsion led to a greater photodynamic damage since the evolution of the healing process was slower suggesting to potential application in PDT facing oncology and skin diseases. Overall the proposed study had a positive impact on the optimization of photodynamic therapy for topical application.
17

Al-Dulaimi, Naktal. "Rhenium disulfide and rhenium-doped MoS2 thin films from single source precursors." Thesis, University of Manchester, 2018. https://www.research.manchester.ac.uk/portal/en/theses/rhenium-disulfide-and-rheniumdoped-mos2-thin-films-from-single-source-precursors(16f715f7-392a-43f5-b201-64106517d319).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The doping of rhenium into molybdenum disulfide was achieved by Aerosol Assisted Chemical Vapour Deposition (AACVD) from single source precursors. Rhenium can be studied as a model for immobilization of radioactive technetium-99 (99Tc) in MoS2. The metals Mo(IV), Re(IV), and Tc(IV) have similar ionic radii 0.65, 0.63 and 0.65 Å respectively, and their Shannon-Prewitt crystal radii 0.79, 0.77 and 0.79 Å Hence demonstrating the potential storage of nuclear waste in geologic like formations in of groundwater may be possible. The interaction between the nuclear waste forms and groundwater, which could lead to release and transport low concentrations or vapour of radionuclides to the near field, as a result, decomposition of engineered barriers. The molecular precursors [Mo(S2CNEt2)4], [Re3(μ-SiPr)3(SiPr)6], [Re(S2CC6H5)(S3CC6H5)2], and [Re2(μ-S)2(S2CNEt2)4] have been used to deposit Re-doped MoS2 thin films. Mo-doped ReS2 alloyed, polycrystalline thin films were synthesised using [Re(S2CC6H5)(S3CC6H5)2], [Mo(S2CNEt2)4] via AACVD, adding with a low concentration of Mo source for the first time . We reported as well a new way for production of ultrathin ReS2 nanosheets by coupling bottom up processing AACVD with top-down LPE. This is important in synthetic pathways for the production of rare transition dichalcogenide, also, our processing methodology is potentially scalable and thus could be a way to commercial exploitation. Characterisation of produced materials performed by pXRD, SEM, TEM, STEM, EDX, ICP and Raman spectroscopy.
18

Sulway, Scott Andrew. "Polar organometallic precursors to amido-bridged transition metal and lanthanide cage compounds." Thesis, University of Manchester, 2012. https://www.research.manchester.ac.uk/portal/en/theses/polar-organometallic-precursors-to-amidobridged-transition-metal-and-lanthanide-cage-compounds(34f62a8d-e349-4e4d-947d-d01503cbcfba).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This project involved utilising the Brønsted basic nature of polar organometallic compounds DyCp3 and [Fe{N(SiMe3)2}2], to deprotonate organic compounds that contain an acidic H-N bond to form low co-ordinate compounds that can then aggregate together to form polynuclear cage compounds. Using DyCp3 in a reaction with BtaH, a dimer formed, [(η5-Cp)2Dy(µ-Bta)]2. This dimer is a single-molecule magnet. [(η5-Cp)2Dy(µ-Bta)]2 was compared to a previously reported compound [(η5-Cp)2Dy{µ-N(H)pmMe2}]2 which, despite having a similar structure to [(η5-Cp)2Dy(µ-Bta)]2, is not a SMM. Synthesis of a chlorine- bridged dimer [(η5-Cp)2DyCl(THF)]2 and subsequent magnetic measurements confirmed that [(η5-Cp)2DyCl(THF)]2 is a SMM. Removal of the THF ligand from [(η5-Cp)2DyCl(THF)]2 was achieved via sublimation. The product of this sublimation was a mixture of two different polymorphs of the same compound, [(η5-Cp)2DyCl]2 and [(η5-Cp)2DyCl]∞. [(η5-Cp)2DyCl]2 was shown to be a SMM, and [(η5-Cp)2DyCl]∞ was shown to display SMM behaviour. [(η5-Cp)2DyCl]∞ had the largest energy barrier to relaxation of magnetisation for any known homospin dysprosium(III) compound. Using [Fe{N(SiMe3)2}2] in a reaction with HppH resulted in the formation of [{Fe{N(SiMe3)2}(hpp)2}2Fe]. [{Fe{N(SiMe3)2}(hpp)2}2Fe] displayed anti-ferromagnetic exchange between the iron(II) centres which resulted in a ground spin state of S = 2. Reacting [Fe{N(SiMe3)2}2] with BtaH resulted in the formation of [{(Me3Si)2NFe}4Fe(Bta)6]. Despite repeated attempts [{(Me3Si)2NFe}4Fe(Bta)6] could not be re-synthesised. An alternative “one pot” synthetic method was attempted, this resulted in the formation of [Fe{N(SiMe3)2}2(LiBta)]2. The structure of [Fe{N(SiMe3)2}2(LiBta)]2 was described using ring-ladder principles and magnetic studies revealed weakly anti-ferromagnetically coupled iron(II) centres which displayed a large zero-field splitting. Extension of the one-pot synthetic route to the use of tin halides was conducted. Using SnCl2 in the one-pot synthetic route resulted in the formation of [{(Me3Si)2N}8Sn8Li8Cl4(Bta)12]. Whilst using SnBr2 resulted in the formation of the compound [{(Me3Si)2N}8Sn8Li8Br4(Bta)12]. The charge separated compound [{(THF)2Li(Bta)}3{Li(THF)}]2[SnI4] was the result of using SnI2 in the one-pot method.
19

Rönnby, Karl. "Quantum Chemical Feasibility Study of Methylamines as Nitrogen Precursors in Chemical Vapor Deposition." Thesis, Linköpings universitet, Kemi, 2015. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-132812.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The possibility of using methylamines instead of ammonia as a nitrogen precursor for the CVD of nitrides is studied using quantum chemical computations of reaction energies: reaction electronic energy (Δ𝑟𝐸𝑒𝑙𝑒𝑐) reaction enthalpy (Δ𝑟𝐻) and reaction free energy (Δ𝑟𝐺). The reaction energies were calculated for three types of reactions: Uni- and bimolecular decomposition to more reactive nitrogen species, adduct forming with trimethylgallium (TMG) and trimethylaluminum (TMA) followed by a release of methane or ethane and surface adsorption to gallium nitride for both the unreacted ammonia or methylamines or the decomposition products. The calculations for the reaction entropy and free energy were made at both STP and CVD conditions (300°C-1300°C and 50 mbar). The ab inito Gaussian 4 (G4) theory were used for the calculations of the decomposition and adduct reactions while the surface adsorptions were calculated using the Density Functional Theory method B3LYP. From the reactions energies it can be concluded that the decomposition was facilitated by the increasing number of methyl groups on the nitrogen. The adducts with mono- and dimethylamine were more favorable than ammonia and trimethylamine. 𝑁𝐻2 was found to be most readily to adsorb to 𝐺𝑎𝑁 while the undecomposed ammonia and methylamines was not willingly to adsorb.
20

Pearce, Amber Marie. "Synthesis and characterisation of metal chalcogenide thin films." Thesis, University of Manchester, 2014. https://www.research.manchester.ac.uk/portal/en/theses/synthesis-and-characterisation-of-metal-chalcogenide-thin-films(7a22c662-639c-4aaf-a4cc-f2ae655115c0).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
There is much interest in the electronic potential of ‘nano’-semiconductors. The avenue of research pursued in this project was in inorganic analogues of graphene, namely metal chalcogenides MxEy (M = metal, E = S, Se, Te, x ≠ y = integer value). Thin films of these materials have been used in solar cells, ambient thermoelectric generators and IR detectors, due to their interesting properties, such as: optoelectronics, magnetooptic, piezoelectric, thermoelectric and photovoltaic, as well as electrical conductivity. The key issues with the use of these materials are the formation of controlled films, especially in terms of stoichiometry, crystallinity and uniformity, and also the precursor system used. The aim of this research was to synthesise and isolate novel precursor compounds for use in the deposition of metal sulfide thin films (for use with molybdenum and tungsten). The potential viability of the compounds as single source precursors (ssp) was judged following ThermoGravimetric Analysis (TGA). The compounds were also subjected to analysis using NMR (1H, 13C and 31P where applicable), infrared and UV-Vis spectroscopy, as well as elemental analysis. Cadmium sulfide (CdS) is one of the key direct band gap II-VI semiconductors, having vital optoelectronic applications for laser light-emitting diodes, and optical devices based on non-linear properties. The ratio of these films should ideally be 1:1, however, during the formation of cadmium sulfide films, particularly at elevated temperatures, a common problem encountered is the production of sulfur deficient films. These films have a formula consistent with 〖Cd〗_x S_y, where x is an integer value greater than y, but the sulfur deficiency is generally no greater than 10 %. In order to correct this sulfur deficiency, it was decided to investigate deposition making use of both a ssp and an additional sulfur source, with the aim of producing uniform films with 1:1 Cd:S.Molybdenum disulfide films have been deposited previously from multi source precursors and more recently using ssp. In this project MoS2 was deposited using novel ssps in both LP and AACVD on a variety of substrates with the aim of producing uniform thin films and assessing any differences in the morphology of the deposition. This work was continued with the deposition of WS2 and MoxW1-xS2 from ssps which had not been reported previously. The films deposited were analysed using XRD, SEM, EDX (when available) and Raman spectroscopy.
21

Kim, Jiyeon [Verfasser], Roland A. [Gutachter] Fischer, and Anjana [Gutachter] Devi. "Organometallic chemistry of transition metal-group 13 complexes and metal-organic precursor synthesis for ALD cobalt oxide thin films / Jiyeon Kim ; Gutachter: Roland A. Fischer, Anjana Devi." Bochum : Ruhr-Universität Bochum, 2017. http://d-nb.info/1144613949/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
22

Woodruff, Daniel. "Organometallic and metal-amide precursors for transition metal and lanthanide cluster complexes with interesting electronic an magnetic properties." Thesis, University of Manchester, 2012. https://www.research.manchester.ac.uk/portal/en/theses/organometallic-and-metalamide-precursors-for-transition-metal-and-lanthanide-cluster-complexes-with-interesting-electronic-an-magnetic-properties(d35cea40-6e84-4d19-ba6a-7a7fe1e4a135).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This project exploited the Brönsted basicity of the organometallic/metal-amide compounds,MnCp2 and Ln[N(SiMe3)2]3·LiCl(THF)3 (Ln = Gd, Tb and Dy) in attempts to synthesizepolymetallic cluster compounds via deprotonation of X-H (X = N or S) bond containing proligands.The chemical, electronic and magnetic properties of the resulting compounds werestudied with a variety of methods.The reaction of Ln[N(SiMe3)2]3·LiCl(THF)3 (Ln = Gd, Tb and Dy) with EtSH yieldsa series of [{Ln(N(SiMe3)2)(μ2-SEt)2}4(μ3-SEt)][Li(THF)4] “Ln4” squares in which theterbium and dysprosium analogues show SMM behaviour in zero field, with the dysprosiumanalogue displaying a fast relaxation process which can be “switched off” by the applicationof a 2000 Oe external field.Reactions of MnCp2 with Me3SiNP(NHR)3 (R = nPr, Cy, tBu) afforded a series ofcompounds; [CpMn{Me3SiN=P(NHnPr)2(μ-NnPr)}]2, [Mn{Me3SiN=P(NHCy)2(NCy)}2]and [CpMn{Me3SiN=P(NHtBu)2(NtBu)}]. Q-band EPR studies of these complexes revealthat altering the R group attached to the ligand causes a variation in coordination geometryaround the manganese centers and as such alters the electronic properties of the manganesecentres present in each complex.In order to avoid the synthesis of potentially unstable organometallic/metal-amideprecursors, one pot synthetic methodologies were developed to allow the isolation a series ofμ8-oxo centred Li7M cubes [MLi7(μ8-O)(μ-hpp)6]+ (M = Co, Mn and Zn). Addition ofstiochiometric amounts of water to the initial reaction mixture produced the Li7M cubes inhigh yields.Extension of the one pot synthetic strategy to the use of DyCl3 and YbCl3 inreactions with Li-TMP (TMP = 2,2,6,6-tetramethylpipiridine) afforded the lanthanidedimers [Ln(TMP)2(μ-OEt)]2 (Ln = Dy and Yb) in which the EtO- ligands were formed via insitu ether cleavage and the dysprosium analogue shows SMM behaviour under a 7000Oeapplied field.
23

Akhtar, Masood. "Synthesis of iron chalcogenide nanocrystals and deposition of thin films from single source precursors." Thesis, University of Manchester, 2013. https://www.research.manchester.ac.uk/portal/en/theses/synthesis-of-iron-chalcogenide-nanocrystals-and-deposition-of-thin-films-from-single-source-precursors(1f2ca1fd-da28-4da8-95a4-7bba81c8b1c6).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Recently there is growing interest for the production of cheap and nontoxic colloidal nanomaterials or thin films for photovoltaic applications. Iron chalcogenides are cheapest materials available for solar cell applications. The work presented here involve the synthesis of iron chalcogenide nanocrystals by colloidal methods and the deposition of thin films by aerosol assisted chemical vapour deposition (AACVD) method from single source precursors. In addition, a comprehensive literature review of iron chlacogenide nanocrystals and thin films is presented. Several new iron complexes belonging to thiocarbamato, xanthato, selenoureato and imidodiphosphinato, have been synthesised. Tris(dialkyldithiocarbamato)-iron(III) complexes of general formula [Fe(S2CNRR’)3] where R = Et, R’ = iPr; R, R’ = Hex; R = Me, R’ = Et and R, R’ = Et and tris(O-alkylxanthato)iron(III) complexes of general formula [Fe(S2COR)3] where R = Me, Et, ipr and iBu have been synthesised. The X-ray single crystal structure of [Fe(S2CNEtiPr)3], Fe(S2CNEtMe)3 and [iPrOC(S)S-S(S)COiPr] were determined. Iron complexes were used as a single source precursors for the deposition of iron sulfide nanocrystals by thermolysis in oleylamine, hexadecylamine and octadecene and thin films on silicon substrate at different temperatures. The complexes show typical paramagnetic behaviours whereas the iron sulfide nanocrystals produced show ferromagnetic behaviour. The greigite and pyrrhotite phases with hexagonal and cubic morphology were obtained by thermolysis. Pyrite and pyrrhotite phases were dominant in thin films. The complex tris(N,N-diethyl-N’-naphthoylselenoureato)iron(III) and its X-ray single crystal structure is also reported. Long rod like nanocrystals of orthorhombic ferroselite (FeSe2) obtained by thermolysis in oleylamine, dodecanthiol and in the mixture of oleylamine and dodecanthiol at 190, 240, and 290 °C. Paramagnetic behaviour was found under magnetic measurement of iron selenide nanocrystals. A very thin film of iron selenide (FeSe) phase was deposited on silicon substrate at 625 °C by AACVD method. The complexes [Fe{(SePiPr2)2N}2] and [Fe{(SePPh2)2N}2] were synthesised. The X-ray single crystal structure of [Fe{(SePPh2)2N}2] and [(SePPh2)2N)-O-(SePPh2)2N)] were also reported. A mixture of orthorhombic ferroselite (FeSe2) with rod and plate-like crystallites was obtained from the thermolysis of these complexes in oleylamine and hexadecylamine at 190, 240 and 290 °C temperatures. Also the mixed phases of iron selenide (Fe7Se8 and FeSe2) thin films having rod and sheet-like morphology were deposited at different deposition temperature (500, 550 and 600 °C) onto silicon substrates from these complexes.
24

Li, Yanhong. "A study of the incorporation of antischistosomiasis drugs into polyheterocycles and DFT calculations on polymer precursors." Thesis, University of Portsmouth, 2010. https://researchportal.port.ac.uk/portal/en/theses/a-study-of-the-incorporation-of-antischistosomiasis-drugs-into-polyheterocycles-and-dft-calculations-on-polymer-precursors(a7ce3a68-5ac7-47cf-8552-cb4c278e38a6).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
There is great interest beginning to be shown in conducting polymers, such as polypyrrole (PPy), for use in the area of drug delivery systems. The main aim of the project was to produce PPy containing antischistosomal compounds and to study their release under electrochemical control. Schistosomiasis is the second most prevalent typical disease in the world, affecting millions of people, the majority of whom are young children. Once affected, parasites live inside the body, causing several terrible symptomatic diseases, such as hepatic fibrosis, ascites and granuloma formation. Antischistosomal compounds investigated for incorporation were niclosamide, niclosamide ethanolamine salt (NES), praziquantel and trichlorfon. All these, apart from NES, were found be to electrochemically inactive in the potential window used to prepare PPy. The conducting polymer grown in the presence of niclosamide was found to have quite different topography to that electrodeposited in its absence, as indicated by light microscopy and scanning electron microscopy (SEM). X-ray photoelectron spectroscopy (XPS) studies, however, did not show any peaks associated with niclosamide. This, together with the very poor solubility of niclosamide in a range of electrolytes (e.g., aqueous Na2SO4 (0.1 mol dm-3); tetrabutylammonium tetrafluoroborate, TBABF4 (0.01 mol dm-3) / acetonitrile; TBABF4 (0.01 mol dm-3) / methanol) suggested that niclosamide was not a suitable candidate for incorporation into this conducting polymer. Nucleation and growth behaviour (chronoamperometry) showed that the presence of praziquantel and trichlorfon in the electrolyte did not,alter PPy formation. Light microscopy and SEM investigations showed that no changes in film topography occured when these two drugs were added to the electrolyte. PPy was found to be electrodeposited at the surface of reticulated vitreous carbon (RVC) electrodes and did not penetrate far into the bulk of the material. Thicker films (7 – 11 μm) and slightly greater penetration was achieved by stepping the potential to +800 mV vs. SCE for 15 min, rather than using potential cycling. Subsequent studies were focused on trichlorfon due to its solubility in aqueous systems and hence greater promise for use in delivery devices to be used in aquatic systems. The presence of trichlorfon in PPy was not evidenced from Fourier-transform infra-red spectroscopy (FTIR) investigations, although this was probably due to the low concentration of the compound, since it was detected by XPS. These studies showed that one trichlorfon molecule was incorporated for every 765 pyrrole units when RVC was used as the substrate, and for every 103 pyrrole units when ITO glass was used. The former substrate has a much larger surface area, however, and so more trichlorfon overall will be incorporated than for PPy on ITO. XPS also showed that one sulphate anion was detected for every 4.6 to 6.4 pyrrole units. Trichlorfon release from PPy was successfully followed by GCMS, where ca. twice as much trichlorfon was released when the PPy/trichlorfon/RVC electrode was held at -300 mV vs. SCE compared to open circuit conditions (no applied potential). At -400 mV vs. SCE, a similar amount of trichlorfon (6.43 μmol) was released after just 60 min compared to that released at -300 mV vs. SCE after 24 h (6.93 μmol). When the potential was too negative (-500 mV vs. SCE), a reduced amount of trichlorfon (4.72 μmol) was released after 60 min compared to the film at -400 mV vs. SCE (6.43 μmol), although it was greater than that released at -300 mV vs. SCE (2.87 μmol) and with no applied potential (1.09 μmol). Thus, trichlorfon was successfully incorporated into PPy and could be released in a controlled fashion by varying the potential. The PPy/trichlorfon/RVC system showed promise for the construction of delivery devices for controlled release of trichlorfon, potentially for use in vivo or in aquatic environments. The last part of the work used computational chemistry techniques to investigate growing conducting polymer chains. Density functional theory (DFT) was used to calculate the unpaired π-electron spin density distribution of oligopyrrole and oligothiophene radical cations using VWN, BLYP and B3LYP functionals. For the oligomers investigated, α,α'-linkages were maintained, which preserve conjugation and hence conductivity. Pendant monomer units, however, joined via α,β'-linkages along the linear chain, were also predicted. The frequency of these pendent groups was dependent on the functional used, with more accurate B3LYP calculations suggesting a higher frequency than those performed using VWN. The time required to perform DFT calculations on long-chain oligomers (ca. 10 monomer units) was still practicable using the high-level B3LYP functional owing to the use of parallel processing. Since the frequency of pendant monomer units along the chain was dependent on the functional used, calculations using the B3LYP functional are recommended over more rapid computations using the VWN functional.
25

Gibbons-Frendo, Sam. "The amyloid precursor protein and the axonal transport of mitochondria in Alzheimer's disease." Thesis, King's College London (University of London), 2012. https://kclpure.kcl.ac.uk/portal/en/theses/the-amyloid-precursor-protein-and-the-axonal-transport-of-mitochondria-in-alzheimers-disease(ddb5cea4-2469-4104-ad0c-cd545581a45c).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Defects in axonal transport are an early pathological event in Alzheimer’s disease, suggesting that damage to the transport process could contribute to the disease. A large number of cargoes are transported through axons and mitochondria represent a particularly important cargo. This is because mitochondria need to be distributed to axonal regions where their functions in ATP synthesis and the regulation of calcium homeostasis are required. This is particularly important in synaptic regions where neurons have high energy and calcium-buffering requirements. As such, mitochondria are transported bi-directionally through axons and this movement is responsive to physiological stimuli. has been shown to disrupt axonal transport of mitochondria but these studies involved application of synthetic Ap to neuronal culture media. In this thesis, the effect of the expression of a familial Alzheimer’s disease mutant amyloid precursor protein (APP) that increases Ap production (the APP Swedish mutant) on axonal transport of mitochondria was studied. This approach represents a more physiological route for studying the effect of APP and Ap on axonal transport. Mitochondrial axonal transport was monitored in cultured neurons via time-lapse microscopy. Expression of APPswe led to a selective disruption of anterograde but not retrograde axonal transport of mitochondria. Mitochondria are transported anterogradely on the microtubule based molecular motor kinesin-1. Mitochondria attach to kinesin-1 via the outer mitochondrial membrane protein Mirol and the adaptor protein TRAK1. Defective mitochondrial transport induced by APPswe did not affect the binding of kinesin-1 to mitochondria. Rather, APPswe caused mitochondria with associated Mirol, TRAKl and kinesin-1 to detach from the microtubule rails. In order to gain insight into the molecular mechanisms that underlie the APPswe effect on transport and to test potential therapeutic approaches, a number of different Alzheimer’s disease-associated features were experimentally manipulated. In particular, inhibition of APP processing and A|3 production with the y-secretase inhibitor DAPT, inhibition of glycogen synthase kinase-3 and increased tubulin acetylation all rescued the APPswe-induced transport defect. These results provide novel insight into the mechanisms underlying defective axonal transport in Alzheimer’s disease and provide new information on how some proposed Alzheimer’s disease therapeutics might act at a molecular level.
26

POTHRAT-DELATRE, SYLVIE. "Aldo- et ceto-c-glycosides. Synthese et application a la preparation de precurseurs chiraux des quassinoides et des acides meviniques biologiquement actifs." Paris 7, 1990. http://www.theses.fr/1990PA077081.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Cette these decrit la synthese et l'etude d'une nouvelle classe de c-glycosides portant une fonction aldehydique ou cetonique sur l'aglycone. Ces molecules ont ete preparees par un procede qui permet de generer pour la premiere fois un synthon homoenolate en presence d'acides de lewis. Ces c-glycosides insatures ont ete utilises pour obtenir une serie de decahydronaphtopyranes chiraux precurseurs des quassinoides et des acides meviniques biologiquement importants. Ainsi des series de c-glycosides inedits portant une fonction dienone sur l'aglycone ont ete synthetisees. A partir de ces molecules, des derives polycycliques ont pu etre preparees par une reaction de diels-alder intramoleculaire. La comparaison de ces modeles avec les quassinoides et les acides meviniques, montre pour la premiere fois qu'il est possible d'introduire en une seule reaction l'ensemble des carbones asymetriques des trois cycles des decahydronaphtopyranes. Par ailleurs, il a ete montre que la partie glucidique des c-glycosides notamment le carbone anomerique induit un controle total de la stereochimie de la reaction de diels-alder intramoleculaire, permettant ainsi a partir d'un sucre d'une stereochimie donnee, d'aboutir a un produit naturel de structure bien determinee. Une etude structurale approfondie a l'aide de la rmn haute resolution, a permis de determiner avec precision la structure des differents isomeres obtenus
27

Andrew, Robert. "Differential proteolysis of the amyloid precursor protein isoforms : the role of cellular location and protein-protein interactions." Thesis, University of Manchester, 2015. https://www.research.manchester.ac.uk/portal/en/theses/differential-proteolysis-of-the-amyloid-precursor-protein-isoforms-the-role-of-cellular-location-and-proteinprotein-interactions(5390e8fa-fc5e-4357-8109-cb2bb1c49212).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Dementia, the most common cause of which is Alzheimer's disease (AD), currently affects 850,000 people in the UK, a figure set to rise to over 1 million by 2025. There is currently no disease modifying therapy available to slow or halt this progressive disease. Current understanding of AD implicates the neurotoxic amyloid-β (Aβ) peptide as the primary initiator in a cascade of events leading to the neuronal cell death and brain atrophy associated with the disease. Therefore, inhibiting the production or enhancing the clearance of Aβ within the brain has become a major target for the production of disease modifying therapeutics. Aβ is produced by brain cells through the sequential proteolytic cleavage of a larger transmembrane protein known as the amyloid precursor protein (APP) by β- and γ-secretases. Several aspects of APP physiology can influence its proteolysis, and thus Aβ production, including the isoform of APP which is expressed, its trafficking and subcellular location and its physical interactions with other proteins in the cellular environment. Here we have investigated the influence of subcellular trafficking and location and protein-protein interactions on the differential proteolysis of two APP isoforms, APP695 and APP751 in a neuroblastoma cell line. We have shown that APP751 undergoes less amyloidogenic proteolysis than APP695 and that retention within the early secretory pathway may contribute to this difference. APP751 shows higher co-localisation to the trans-Golgi network than APP695 in immunofluorescence microscopy studies, while addition of a mutation which causes APP proteolysis in the secretory pathway reduces the large difference in amyloidogenic proteolysis of these two isoforms. Targeting APP endocytosis from the cell surface, thought to be a key determinant in Aβ generation, effects APP isoform proteolysis and Aβ production to a similar extent in both the APP isoforms suggesting differences in proteolysis occur before this trafficking event. We also show by immunoblot analysis that the APP isoforms may be differentially cleaved by proteases other than β- and γ-secretase to produce recently identified proteolytic fragments. Using a liquid chromatography - tandem mass spectrometry approach coupled to prior stable isotope labelling of amino acids in cell culture (SILAC), we have identified the interactomes of the two APP isoforms in our model system. Gene ontology analysis identified enrichment of nuclear and mitochondrial proteins specifically in the APP695 interactome. Using siRNA mediated protein knockdown, we have shown interactions with Fe65 and ataxin-10 specifically influence Aβ generation from the APP695 isoform. Fe65 alters proteolysis at the rate limiting β-secretase cleavage step, while ataxin-10 alters proteolysis by γ-secretase. Interaction with growth-associated protein 43 specifically influences Aβ generation from the APP751 isoform, altering proteolysis at the γ-secretase step. Finally we have shown that recently discovered familial AD-linked mutation and protective mutation within the Aβ region of the APP protein have consistent effects on APP proteolysis in both the APP isoforms.
28

Dhakal, Dileep, Thomas Waechtler, Schulz Stefan E, Thomas Gessner, Heinrich Lang, Robert Mothes, and Andre Tuchscherer. "Surface chemistry of a Cu(I) beta-diketonate precursor and the atomic layer deposition of Cu2O on SiO2 studied by x-ray photoelectron spectroscopy." Universitätsbibliothek Chemnitz, 2014. http://scitation.aip.org/content/avs/journal/jvsta/32/4/10.1116/1.4878815?aemail=author.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This article has been published online on 21st May 2014, in Journal of Vacuum Science & Technology A: Vac (Vol.32, Issue 4): http://scitation.aip.org/content/avs/journal/jvsta/32/4/10.1116/1.4878815?aemail=author DOI: 10.1116/1.4878815 This article may be accessed via the issue's table of contents at this link: http://scitation.aip.org/content/avs/journal/jvsta/32/4?aemail=author The surface chemistry of the bis(tri-n-butylphosphane) copper(I) acetylacetonate, [(nBu3P)2Cu(acac)], and the thermal atomic layer deposition (ALD) of Cu2O using this Cu precursor as reactant and wet oxygen as co-reactant on SiO2 substrates are studied by in-situ X-ray photoelectron spectroscopy (XPS). The Cu precursor was evaporated and exposed to the substrates kept at temperatures between 22 °C and 300 °C. The measured phosphorus and carbon concentration on the substrates indicated that most of the [nBu3P] ligands were released either in the gas phase or during adsorption. No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. However, disproportionation of the Cu precursor was observed at 200 °C, since C/Cu concentration ratio decreased and substantial amounts of metallic Cu were present on the substrate. The amount of metallic Cu increased, when the substrate was kept at 300 °C, indicating stronger disproportionation of the Cu precursor. Hence, the upper limit for the ALD of Cu2O from this precursor lies in the temperature range between 145 °C and 200 °C, as the precursor must not alter its chemical and physical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) investigations depicted a homogeneous, fine, and granular morphology of the Cu2O ALD film on SiO2. AFM investigations suggest that the deposited Cu2O film is continuous on the SiO2 substrate.
29

Akhtar, Javeed. "Structural and optoelectronic studies of lead chalcogenide thin films and nanocrystals." Thesis, University of Manchester, 2010. https://www.research.manchester.ac.uk/portal/en/theses/structural-and-optoelectronic-studies-of-lead-chalcogenide-thin-films-and-nanocrystals(625f5327-bebc-42e3-898c-d884a3df8860).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The work described herein deals with the synthesis and characterization of lead chalcogenide thin films and nanocrystals. The first part of thesis describes the properties of semiconductors followed by an analysis on the chemical vapour deposition and nanoparticulate formation. In the next part of thesis, single-source precursors of type thioselenophosphinato, selenoureato, dithiocarbamato and dithiocarbanato complexes of lead have been synthesised and characterised. As-synthesised compounds have been utilised for the fabrication of lead sulfide and lead selenide thin films by aerosol-assisted chemical vapour deposition as well as nanocrystals by colloidal injection method. Lead sulfide thin films were also deposited by liquid-liquid interface from lead dithiocarbanato at room temperature. The as grown thin films of lead sulfide and lead selenide have been characterised by XRD, SEM and energy dispersive x-ray (EDX) analysis. In the second part of the thesis, preparation of lead sulfide and lead selenide nanocrystals in olive oil at low growth temperatures (50-60°C) is described and have shown that by controlling experimental conditions, well-defined particles with tunable emission in mid and far-infrared region can be synthesised. Furthermore, compositionally-tuned PbSxSe1-x nanocrystals has also been prepared by adding controlled amount of sulur and selenium ingredients into lead oxide. Homogenous distribution of sulfur and selenium within alloyed nanocrystals is confirmed by transmission electron microscope studies. Moreover, attempts have been made to prepare quaternary (PbTe/Se/S) nanocrystals of lead chalcogenides and depth (1.9-5.8 nm) profile analysis by x-ray photoelectron spectroscopy confirmed the formation of core/shell/shell type structure i.e. PbTe/S/Se.
30

Pugh, B. A. "Power in the blood : the significance of the blood of Jesus to the spirituality of early British pentecostalism and its precursors." Thesis, Bangor University, 2009. https://research.bangor.ac.uk/portal/en/theses/power-in-the-blood-the-significance-of-the-blood-of-jesus-to-the-spirituality-of-early-british-pentecostalism-and-its-precursors(e28e7303-c710-446f-9d2e-9aaf328360eb).html.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Pentecostals and charismatics today are not known for placing great emphasis on the blood of Jesus, yet such was not always the case. Even a cursory reading of the popular literature produced by the earliest Pentecostals reveals that the atonement generally, and "the blood' in particular occupied a central place in their spirituality. Indeed, during the first two years of British Pentecostalism, the mere mention of 'the precious blood' appears to have had, for them, an almost magical power to make the devil flee and induce the experience of baptism in the Holy Spirit. In this thesis, I have attempted to tell the story of when and how this emphasis on the blood of Christ began and progressed, culminating in early British Pentecostalism. The claims of this piece of research are limited to demonstrating, firstly. that there was continuity. There is an identifiable tradition of this style of spirituality that passed from generation to generation, especially within Evangelicalism, which reached its apogee in the earlier years of Pentecostalism. Secondly. I demonstrate that there was change. The different forms that the tradition took in response to changing conditions are described and analysed and the gradual disappearance of the tradition from within Pentecostalism is noted with possible reasons being offered. I have concluded this thesis by pointing out, firstly. the part these findings could play in opening up a discussion of the Christological roots of Pentecostalism. This aspect of Pentecostal origins could speak into current debates about Pentecostal identity that draw much from its distinctive pneumatology but which presently see less that is distinctive or identity depicting in its Christology. Secondly. this piece of work supplies resources that may be found useful in the wider Evangelical debate about the atonement. One common objection raised against the doctrine of penal substitution is that it does not obviously point the way to the ethical or spiritual transformation of the individual. In this thesis. a significant body of evidence is presented that shows how many individuals, almost entirely subscribers to a penal view of the atonement found ways of making their atonement theology personally transformative. Thirdly, this thesis offers a collection of data that may be found useful by those researching the interaction between Christianity, especially in its more radical forms, and the cultural forces brought to bear upon it.
31

Wächtler, Thomas. "Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices." Doctoral thesis, Universitätsbibliothek Chemnitz, 2010. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-201000725.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate [(nBu3P)2Cu(acac)]. This liquid, non-fluorinated β-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and self-saturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes
Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(nBu3P)2Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren
32

Kothe, Blanka. "Nachweis von TEL-Genrekombinationen mittels Southern Blot bei Kindern mit akuter lymphoblastischer Leukämie." Doctoral thesis, Humboldt-Universität zu Berlin, Medizinische Fakultät - Universitätsklinikum Charité, 2003. http://dx.doi.org/10.18452/14891.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Das in der vorliegenden Arbeit vorgestellte Verfahren der nicht-radioaktiven Southern Blot Hybridisierung unter Verwendung einer Digoxigenin Markierung hat sich für die Darstellung von Rekombinationen im TEL-Genlokus genomischer DNA als sensitive Vergleichsmethode bewiesen. Es wurden insgesamt 122 Kinder mit dieser Methode auf das Vorliegen der Translokation t(12;21) untersucht. Bei einer nur relevante Faktoren berücksichtigenden Beschränkung des PatientInnenkollektivs auf protokollgerecht nach ALL-REZ BFM behandelte B-Vorläufer-Zell-ALL und Erstrezidive konnte eine Rekombination von TEL in 5 von 65 PatientInnen (7,7%) nachgewiesen werden. Lässt man die Einschlusskriterien der ALL-REZ BFM Studie unberücksichtigt, handelt es sich sogar um 7 von 71 (9,9%) PatientInnen. Damit bestätigen die hier vorliegenden Ergebnisse den Trend der aktuellen Diskussion über die Häufigkeit des Vorliegens des Fusionsgenes TEL-AML1 bei Erstrezidiven, die eine kumulative Inzidenz bei einem 10-jährigen Untersuchungszeitraum von 9 ± 5% angeben. Weiterhin konnte mit ereignisfreien Beobachtungszeiträumen nach dem 1. Rezidiv im Median von 8,6 Jahren ein Trend zu späten Rezidiven und somit eine mittelfristig günstige Prognose für die TEL-Rearrangement positiven Rezidivfälle konstatiert werden. Zusammenfassend kann gesagt werden, dass TEL-AML1 positive PatientInnen eine Subgruppe darstellen, die lange erkrankungsfreie Intervalle mit zur Zeit üblichen Therapieprotokollen erreichen, nach Therapie der Ersterkrankung aber auch nach dem ersten Rezidiv. Auf Grund der sich aus dem retrospektiven PatientInnekollektiv ergebenen Selektion war es nicht möglich, statistisch signifikante Aussagen zur unabhängigen prognostischen Bedeutung für die langfristige Prognose von ALL im Kindesalter mit TEL-Rekombinationen zu treffen.
The presence of TEL/AML1 fusion gene in childhood acute lymphoblastic leukaemia (ALL) defines a subgroup of patients with better than average outcome. Around 20% of the patient at point of initial ALL diagnosis are characterised by this fusion transcript from translocation t(12;21)(p12;q22). However, the prognostic significance of this aberration has recently been disputed by the Berlin-Frankfurt-Munster (BFM) study group due to its relatively high incidence found in relapsed patients (19.6% and 21.9%, in two cohorts). Here we wanted to get more data in a long term follow up retrospect investigation by analysing DNA from frozen conserved bone marrow samples of 65 children. In the study presented here only five out of 65 (7.7%) patients selected as childhood B cell precursor acute lymphoblastic leukaemia only treated according to Berlin-Frankfurt-Munster (BFM) ALL relapse trial protocols (ALL-REZ BFM 82-96) (excluding T-lineage and Philadelphia chromosome (Ph)-positive leukaemia) carry this fusion. The detection was done due to a new developed non-radioactive Southern blotting with a Digoxigenin marked template. We could confirm the still good middle term prognosis in the relapsed TEL-AML1 positive subgroup. The TEL-AML1-positive and negative patients differed with respect to duration of last remission and age at initial diagnosis. At a median follow-up time of 8.6 years, children positive for TEL-AML1 had a higher probability of disease-free survival. Because of the small number of patients in this study it was not possible to get statistical significant facts about the independent prognostic impact for the long term prognosis of ALL in childhood with TEL rearrangement.
33

Wächtler, Thomas. "Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices." Doctoral thesis, Universitätsverlag der Technischen Universität Chemnitz, 2009. https://monarch.qucosa.de/id/qucosa%3A19323.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate [(nBu3P)2Cu(acac)]. This liquid, non-fluorinated β-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and self-saturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes.
Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(nBu3P)2Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren.
34

Dagur, Pritesh. "Thin Films From Metalorganic Precursors : ALD Of VO2 And CVD Of (Al1-xGax)2O3." Thesis, 2009. http://hdl.handle.net/2005/668.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Thin films and coatings of oxides are used in various fields of science and technology, such as semiconductor and optoelectronic devices, gas sensors, protective and wear resistant coatings etc. Of late, there has been a tremendous interest in pure and doped vanadium dioxide as thermoelectric switch material. VO2 has been doped with hetero-atoms such as W, Mo, Nb, Ti etc. and effects of doping have been correlated with feasibility of being used as a smart window material. The oxide Al2O3 has been studied as an alternative gate dielectric. Ga2O3 is also a contender for replacing SiO2 as a dielectric material. Atomic layer deposition (ALD) is a technique for the deposition of thin films of various materials and is found to be of considerable scientific and technological importance. In particular, using β-diketonate complexes as precursors is very useful in preparing thin films of oxides, as these precursors already contain a metal-oxygen bond. In this thesis, β-diketonate complexes have been used as precursors for deposition of thin films. The thesis has been divided into two parts: First part deals with deposition and characterization of thin films of VO2 on glass and fused quartz. The second part deals with synthesis and chemical and thermal characterization of bimetallic Al-Ga acetylacetonates along with thin film deposition using the same. Chapter 1 presents a brief introduction to application of thin films of oxides in various fields of science and technology. A brief introduction to the ALD reactor used for the current work is also presented. The importance of thermal analysis of precursors for CVD is briefly reviewed. Chapter 2 deals with the instruments and methods used for the work done for this thesis. In Chapters 3 and 4 of the thesis, a detailed study of deposition of VO2 films on glass and fused quartz has been presented. The films deposited have been analyzed using a host of techniques, for their texture, microstructure and electrical properties. In spite of chemical similarities, considerable differences in structure and properties have been observed between the films deposited on the two substrates. These differences have been explained on the basis of the small chemical differences between the two substrates. Chapters 5, 6 and 7 deal with synthesis, thermal characterization and use of bimetallic Al-Ga precursors, respectively. The bimetallic acetylacetonates have been synthesized using ‘homogenization in solution’ approach. Chemical characterization of the precursors revealed that nominal percentages of Al and Ga are retained in the solid precursors. Single crystal structure confirmed the observation. Thermal analysis of the precursors showed that the precursors, which are solid solutions of Al and Ga acetylacetonates, show negative deviation from the Raoult’s Law. Films were deposited using these precursors and were found to near completely retain the composition of the precursors. Chapter 8 of the thesis presents the conclusions of the current work and proposes future directions.
35

Kunte, Girish V. "Vapour Pressure Studies Of Precursors And Atomic Layer Deposition Of Titanium Oxides." Thesis, 2008. http://hdl.handle.net/2005/762.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This thesis describes the deposition of thin films of titanium oxide and Magnéli phases of titanium oxide by atomic layer deposition (ALD) using a novel β-ketoesterate precursor. Titanium oxide is a promising candidate for the high-k dielectric gate oxide layer for CMOS devices in microelectronic circuits. The Magnéli phases of titanium oxide are difficult to grow and stabilize, especially in the thin film form, and have useful properties. The thin film deposition of oxides by CVD/ALD requires suitable precursors, which are often metalorganic complexes. The estimation of vapour pressure using thermogravimetry is described, and employed, using an approach based on the Langmuir equation. This data is important for the evaluation of the suitability of these complexes as CVD precursors. The first chapter gives a brief introduction to the topics that will be discussed in this thesis. Part one of the thesis deals with the synthesis, characterization, and studies of the vapour pressure and partial pressures of the precursors for CVD. This part comprises of the second, third and fourth chapter. The second chapter deals with the synthesis and characterization of the various metalorganic complexes that have been synthesized and characterized to evaluate their suitability as precursors for CVD. The third chapter describes the derivation of vapour pressure of precursors for CVD and ALD, from rising temperature thermogravimetric analysis (TGA) data, using the Langmuir equation. The fourth chapter deals with the determination of partial pressure of CVD precursors using data from low-pressure thermogravimetry. Part Two of the thesis reports the deposition of titanium oxide thin films by ALD, and the detailed investigation of their properties, for application as high-k dielectric materials. Chapters five, six and seven constitute this part. The fifth chapter deals with the deposition of titanium oxide thin films by ALD. Chapter six describes the electrical characterization of the thin films of titanium oxide, for applications as high-k dielectric gate oxide layers for CMOS circuits. In the seventh chapter, the deposition of Magnéli phases of titanium by ALD is described. The dielectric properties of the films are studied.
36

Siegert, Uwe. "Silber(I)- und Kupfer(I) – Precursoren für CVD, ALD und Spin-Coating Prozesse." Doctoral thesis, 2009. https://monarch.qucosa.de/id/qucosa%3A19279.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Die vorliegende Arbeit beschäftigt sich mit der Synthese von Phosphan-Kupfer(I)- und Silber(I)-Thiocarboxylaten der Art [(nBu3P)mMSC(O)R] (m = 2, 3; M = Cu, Ag; R = Me, Ph). Die Verbindungen wurden in Hinsicht auf ihr Potential zur thermischen Abscheidung dünner Schichten untersucht. Weiterhin befasst sich diese Arbeit mit der Darstellung von Silber(I)- und Kupfer(I)-Carboxylaten, die im organischen Rest mindestens eine zusätzliche Donorfunktion besitzen ([(nBu3P)mMO2CR]; m = 1, 2; M = Ag, Cu; R = ungesättigter organischer Rest, CH2O(CH2)2OCH3). Das thermische Verhalten und die Anwendbarkeit dieser Komplexe zur Abscheidung dünner Metallschichten mittels CVD-Verfahren wurden untersucht. Das Verhalten von Phosphan-Silber und -Kupfer-Verbindungen in Lösung wurde mittels dynamischer NMR-Spektroskopie untersucht. Dazu wurden phosphankoordinierte Silber(I)- und Kupfer(I)-Acetate als Modellsystem benutzt und mit einem ausgewählten Vertreter der ungesättigten Carboxylate verglichen.
37

Baunemann, Arne [Verfasser]. "Precursor chemistry of tantalum and niobium nitride for MOCVD and ALD applications / vorgelegt von Arne Baunemann." 2006. http://d-nb.info/982633009/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
38

Siegert, Uwe [Verfasser]. "Silber(I)- und Kupfer(I)-Precursoren für CVD, ALD und Spin-Coating Prozesse / vorgelegt von Uwe Siegert." 2009. http://d-nb.info/1003603394/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
39

CHIA-CHENHSIEH and 謝佳真. "Sintering Aid Addition by Liquid Precursor Infiltration Technique in the Preparation of Translucent Alumina." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/vhe57k.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
40

Milanov, Andrian Petrov [Verfasser]. "MOCVD and ALD of rare earth containing multifunctional materials : from precursor chemistry to thin film deposition and applications / Andrian Petrov Milanov." 2010. http://d-nb.info/1004976399/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
41

Dobiášová, Alena. "Molekulární charakterizace nového subtypu dětské Akutní lymfoblastické leukémie s liniovým přesmykem v časné fázi léčby onemocnění." Master's thesis, 2014. http://www.nusl.cz/ntk/nusl-332193.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Leukemia is the most common malignant disease in children patients. In our laboratory (CLIP) a novel subtype of B-cell precursor Acute Lymphoblastic Leukemia (BCP-ALL) with lineage switch during early phase of treatment towards myeloid lineage (swALL) was recently documented. SwALL incidence is almost 4 % of all BCP-ALLs (Slámová et al., 2014). DNA methylation (presence of 5-methylcytosine) is together with post-translational histone modifications and non- coding RNAs an epigenetic mechanism which regulates gene expression without changes of genetic code. DNA methylation is easily detected by bisulphite conversion and subsequent sequencing. The aim of this work was to compare genome-wide DNA methylation patterns between patients with swALL and control BCP-ALLs. The first step in achieving that was revision and improvement of bioinformatic processing protocol for eRRBS data from massive parallel sequencing. To improve the sequence adapter trimming I tested four bioinformatic tools - FAR, cutadapt, Trimmomatic and fastx_clipper. I implemented the fastest and most effective - Trimmomatic into the processing protocol. As a next step I analysed the data with improved protocol and extended the analysis in R programming environment where the comparison of studied groups was performed. The comparison of...
42

Νικολάου, Νικόλαος. "Διατάξεις παγίδευσης φορτίου (Memories) με τη χρήση νέων υλικών υψηλής διηλεκτρικής σταθεράς". Thesis, 2014. http://hdl.handle.net/10889/8504.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Στη παρούσα Διατριβή διερευνήθηκε η χρήση υλικών υψηλής διηλεκτρικής σταθεράς (high-k) ως οξειδίων ελέγχου σε διατάξεις παγίδευσης φορτίου τύπου MONOS (Μetal-Οxide-Νitride-Οxide-Silicon). Τα οξείδια που εξετάστηκαν ήταν το HfO2, τo ZrO2 και το Al2O3. Η ανάπτυξή τους πραγματοποιήθηκε με χρήση της μεθόδου εναπόθεσης ατομικού στρώματος (ALD). Οι ιδιότητες των δομών μνήμης μελετήθηκαν συναρτήσει: (α) των πρόδρομων μορίων της εναπόθεσης για τα HfO2 και ZrO2, (β) του οξειδωτικού μέσου της εναπόθεσης για την περίπτωση του Al2O3 και (γ) της επακόλουθης ανόπτησης. Η ηλεκτρική συμπεριφορά των δομών εξετάστηκε με την κατασκευή πυκνωτών τύπου MOS. Τα υμένια του HfO2 αναπτύχθηκαν επί διστρωματικής στοίβας SiO2/Si3N4 με (α) αλκυλαμίδιο του χαφνίου (ΤΕΜΑΗ) και Ο3 στους 275 oC, και (β) κυκλοπενταδιενύλιο του χαφνίου (HfD-04) και Ο3 στους 350 οC. Ομοίως, τα υμένια του ZrO2 αναπτύχθηκαν επί διστρωματικής στοίβας SiO2/Si3N4 με: (α) αλκυλαμίδιο του ζιρκονίου (ΤΕΜΑΖ) και Ο3 στους 275 oC και (β) κυκλοπενταδιενύλιο του ζιρκονίου (ZrD-04) με Ο3 στους 350 oC. Ο δομικός χαρακτηρισμός, για το HfO2, φανέρωσε πως η ύπαρξη ή όχι κρυσταλλικού χαρακτήρα και η σύσταση του οξειδίου εξαρτάται τόσο από το πρόδρομο μόριο αλλά και από την ανόπτηση (600 οC, 2 min). Αντίθετα, το ZrO2 έχει σε κάθε περίπτωση κρυσταλλικότητα. Τα ηλεκτρικά χαρακτηριστικά των πυκνωτών Si/SiO2/Si3N4/high-k/Pt, δείχνουν ότι οι δομές έχουν ικανοποιητική συμπεριφορά ως στοιχεία μνήμης αφού όλες οι ιδιότητες πληρούν τις βασικές προϋποθέσεις ως στοιχεία μνήμης, παρά την ανυπαρξία ενεργειακού φραγμού μεταξύ στρώματος παγίδευσης και οξειδίου ελέγχου. Η ικανότητα παγίδευσης και η επίδοση των δομών με HfO2 και ZrO2 δεν διαφοροποιούνται σημαντικά με χρήση διαφορετικού πρόδρομου μορίου ή με την ανόπτηση. Ο έλεγχος όμως της αντοχής των δομών σε επαναλαμβανόμενους παλμούς εγγραφής/διαγραφής αναδεικνύει ότι αμφότερες οι δομές που ανεπτύχθησαν με βάση το κυκλοπενταδιενύλιο έχουν μειωμένη αντοχή ηλεκτρικής καταπόνησης. Τo Al2O3 αναπτύχθηκε χρησιμοποιώντας το μόριο ΤΜΑ και ως οξειδωτικό μέσο: (α) H2O, (β) O3 και (γ) Plasma Ο2 (μέθοδος PE-ALD) σε συνδυασμό με ΤΜΑ. Οι δομές στην αρχική κατάσταση, χωρίς ανόπτηση, χαρακτηρίζονται από ισχυρό ρεύμα έγχυσης ηλεκτρονίων από την πύλη (υπό αρνητικές τάσεις) περιορίζοντας την ικανότητα φόρτισης και την επίδοση διαγραφής. Η ανόπτηση σε φούρνο και αδρανές περιβάλλον (850 ή 1050 oC, 15 min) προκάλεσε σημαντική βελτίωση των ηλεκτρικών χαρακτηριστικών των δομών λόγω του σημαντικού περιορισμού του παραπάνω φαινομένου. Μετά το στάδιο της ανόπτησης οι συνδυασμοί ΤΜΑ/Η2Ο και ΤΜΑ/Plasma Ο2 έχουν καλύτερες χαρακτηριστικές σε σχέση με αυτές του συνδυασμού ΤΜΑ/Ο3. Το φαινόμενο της διαρροής ηλεκτρονίων από την πύλη αποδίδεται στη μεγάλη συγκέντρωση και χωρική κατανομή του υδρογόνου στο υμένιο υψηλής διηλεκτρικής σταθεράς. Τέλος, διερευνήθηκε η τροποποίηση των ιδιοτήτων μνήμης των δομών με εμφύτευση ιόντων αζώτου χαμηλής ενέργειας και υψηλής δόσης στο Al2O3 και επακόλουθη ανόπτηση υψηλής θερμοκρασίας. Η παρουσία αζώτου στο υμένιο καθώς και ο χημικός δεσμός του εμφυτευμένου αζώτου είναι συνάρτηση της θερμοκρασίας ανόπτησης. Επομένως, οι ιδιότητες μνήμης εξαρτώνται από τη μορφή σύνδεσης και την συγκέντρωση του εμφυτευμένου αζώτου στο τροποποιημένο Al2O3. Η υψηλή θερμοκρασία ανόπτησης (1050 οC, 15 min) φαίνεται να αποφέρει δομές με τις καλύτερες ιδιότητες μνήμης.
This thesis studies the functionality of high-k oxides as blocking oxide layers in SONOS type charge-trap memory devices. The oxide materials that were examined were the HfO2, the ZrO2 and the Al2O3. All these blocking oxide layers were deposited by atomic layer deposition technique (ALD). The electrical performance of the trilayer stacks was examined using Pt-gate MOS-type capacitors. The properties of the memory structures were examined as a function of: (a) precursor chemistry of HfO2 and ZrO2 deposition, (b) the deposition oxidizing agent in the case of Al2O3 and (c) subsequent high temperature annealing steps. The HfO2 films were deposited on SiO2/Si3N4 bilayer stacks using: (a) hafnium alkylamide (TEMAH) and O3 at 275 oC, and (b) hafnium cyclopentadienyl (HfD-04) and O3 at 350 oC. Similarly the ZrO2 films were deposited by (a) zirconium alkylamide (TEMAZ) and O3 at 275 oC, and (b) zirconium cyclopentadienyl (ZrD-04) and O3 at 350 oC The structural characterization of the HfO2 showed that the crystallinity of the deposited high-k material depends on the precursor choice and the post deposition annealing step (600 °C, 2 min). On the contrary ZrO2 is deposited in a crystalline phase independent of the deposition conditions and the choice of the precursors. The electrical characterization of Si/SiO2/Si3N4/high-k/Pt capacitors showed that all fabricated structures operate well as memory elements, despite the absence of an energy barrier between the trapping layer and control oxide. The trapping efficiency and the performance of structures with HfO2 or ZrO2 blocking layers do not revealed a dependence upon the precursor chemistry. However, endurance testing using continuous write/erase pulses showed that both structures deposited by cyclopentadienyl precursors cannot sustain the resulting electrical stress. The Al2O3 layers were deposited using the TMA molecule while three different oxidizing agents were used: (a) H2O, (b) O3 and (c) oxygen plasma. Electrical testing of the resulting Pt-gate trilayer capacitors showed that in the deposited condition all three samples were characterized by gate electrode induced electron leakage currents in the negative bias regime, which completely masked the substrate hole injection effects. This effect limits the performance and the functionality of the memory stacks. After a high temperature annealing step (850 or 1050 oC, 15 min) this leakage current is reduced significantly and the stacks can function as memory elements. The results point to suggest that after annealing the best performance is exhibited by the TMA/H2O and TMA/Plasma O2 samples. The effect of gate induced electron leakage current is attributed to hydrogen related contamination, which has been verified by ToF-ERDA in depth profile measurements, at least for the case of TMA/H2O samples. The modification of the memory properties of the SiO2/Si3N4/Al2O3 stacks was also investigated using low energy and high fluence nitrogen implantation into Al2O3 layer. The concentration and the chemical bonding of the implanted nitrogen is a function of annealing temperature. The memory properties of the stack depend therefore on the chemical bonding and the concentration of the remaining nitrogen in the modified Al2O3. The high temperature annealing (1050 oC, 15 min) appears to provide the structures with improved memory properties in terms of retention and fast erase performance.

До бібліографії