Статті в журналах з теми "ALD precursors"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: ALD precursors.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 статей у журналах для дослідження на тему "ALD precursors".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте статті в журналах для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Norman, John A., Melanie Perez, Xinjian Lei, and Hansong Cheng. "New Precursors for Copper ALD." ECS Transactions 3, no. 15 (December 21, 2019): 161–70. http://dx.doi.org/10.1149/1.2721485.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Kurek, Agnieszka, Peter G. Gordon, Sarah Karle, Anjana Devi, and Seán T. Barry. "Recent Advances Using Guanidinate Ligands for Chemical Vapour Deposition (CVD) and Atomic Layer Deposition (ALD) Applications." Australian Journal of Chemistry 67, no. 7 (2014): 989. http://dx.doi.org/10.1071/ch14172.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Volatile metal complexes are important for chemical vapour deposition (CVD) and atomic layer deposition (ALD) to deliver metal components to growing thin films. Compounds that are thermally stable enough to volatilize but that can also react with a specific substrate are uncommon and remain unknown for many metal centres. Guanidinate ligands, as discussed in this review, have proven their utility for CVD and ALD precursors for a broad range of metal centres. Guanidinate complexes have been used to deposit metal oxides, metal nitrides and pure metal films by tuning process parameters. Our review highlights use of guanidinate ligands for CVD and ALD of thin films over the past five years, design trends for precursors, promising precursor candidates and discusses the future outlook of these ligands.
3

Zhao, Ming-Jie, Zhi-Xuan Zhang, Chia-Hsun Hsu, Xiao-Ying Zhang, Wan-Yu Wu, Shui-Yang Lien, and Wen-Zhang Zhu. "Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy." Nanomaterials 11, no. 4 (April 10, 2021): 978. http://dx.doi.org/10.3390/nano11040978.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Indium oxide (In2O3) film has excellent optical and electrical properties, which makes it useful for a multitude of applications. The preparation of In2O3 film via atomic layer deposition (ALD) method remains an issue as most of the available In-precursors are inactive and thermally unstable. In this work, In2O3 film was prepared by ALD using a remote O2 plasma as oxidant, which provides highly reactive oxygen radicals, and hence significantly enhancing the film growth. The substrate temperature that determines the adsorption state on the substrate and reaction energy of the precursor was investigated. At low substrate temperature (100–150 °C), the ratio of chemically adsorbed precursors is low, leading to a low growth rate and amorphous structure of the films. An amorphous-to-crystalline transition was observed at 150–200 °C. An ALD window with self-limiting reaction and a reasonable film growth rate was observed in the intermediate temperature range of 225–275 °C. At high substrate temperature (300–350 °C), the film growth rate further increases due to the decomposition of the precursors. The resulting film exhibits a rough surface which consists of coarse grains and obvious grain boundaries. The growth mode and properties of the In2O3 films prepared by plasma-enhanced ALD can be efficiently tuned by varying the substrate temperature.
4

Clancy, Phil, Lisa S. Milstein, Hugh Gotts, Dan Cowles, Piyamit Chitrathorn, Zhiwen Wan, Lynn Vanatta, and Qingqing Bales. "Analytical Characterization of ALD Thin Film Precursors." ECS Transactions 28, no. 1 (December 17, 2019): 349–59. http://dx.doi.org/10.1149/1.3375621.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Wada, Senji, Tetsuji Abe, Atsushi Sakurai, Takashi Higashino, Ryuusaku Fujimoto, and Masako Shimizu. "Development of ALD Precursors for Semiconductor Devices." ECS Transactions 16, no. 4 (December 18, 2019): 103–11. http://dx.doi.org/10.1149/1.2979985.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Bielinski, Ashley R., and Alex B. F. Martinson. "(Invited) Investigating Surface Reaction Thermodynamics: In Situ Calorimetry for Atomic Layer Deposition." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1124. http://dx.doi.org/10.1149/ma2022-02311124mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Atomic layer deposition (ALD) is comprised of sequential self-limiting surface reactions that are inherently sensitive to the surface chemistry of the substrate and the reactivity of the chosen precursors. In order to better understand ALD processes and direct their future development we must investigate the fundamental mechanisms of ALD reactions. This is commonly done using a combination of computational modeling and experimental investigations using techniques like spectroscopic ellipsometry and IR spectroscopy. We present pyroelectric calorimetry for ALD as an in situ technique to measure the time resolved heat generation from ALD reactions. This provides a new dimension of thermodynamic and kinetic reaction data. As an experimental technique, pyroelectric calorimetry measures ALD reactions on realistic surfaces as opposed to idealized models. We have designed and constructed custom calorimeters that are compatible with typical ALD process parameters. These calorimeters have been calibrated with a thermal resolution down to 0.1 μJ/cm2 and a temporal resolution of 50ns. To put this in perspective for an ALD reaction, this corresponds to about 0.1% of the heat evolved in the trimethylaluminum (TMA) and water ALD process and about 104 faster than our measurement of the TMA half reaction. The temporal resolution of our calorimeters is orders of magnitude faster than most complementary in situ analysis techniques including ellipsometry, IR spectroscopy, quartz crystal microgravimetry, and mass spectrometry. This time resolution provides information on precursor flow dynamics and reaction kinetics. Pyroelectric calorimetry was used to investigate the TMA and water half reactions for ALD Al2O3 along with in situ spectroscopic ellipsometry thickness measurements and Rutherford backscattering spectrometry (RBS) composition analysis. This enabled comparisons of the change in thickness with the amount of heat generated as each half reaction saturates. Atomic growth rates calculated form RBS were used to calculate the heat generated on a per-atom basis. These results were then compared with proposed reaction mechanisms and energy changes from density functional theory (DFT) computational modeling. Measurements of reaction and adsorption heats can also be used to compare and evaluate different precursors and inhibitor molecules for ALD growth inhibition in area-selective ALD. We investigated how the thermodynamics of adsorption can guide our choice of precursor-inhibitor pairs when using small molecule inhibitors that rely on competitive adsorption and chemical passivation to prevent ALD growth. Pyroelectric calorimetry offers new insight into what drives and limits ALD reactions and provides many opportunities for future investigations.
7

Lee, Wen-Jen, and Yong-Han Chang. "Growth without Postannealing of Monoclinic VO2 Thin Film by Atomic Layer Deposition Using VCl4 as Precursor." Coatings 8, no. 12 (November 27, 2018): 431. http://dx.doi.org/10.3390/coatings8120431.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Vanadium dioxide (VO2) is a multifunctional material with semiconductor-to-metal transition (SMT) property. Organic vanadium compounds are usually employed as ALD precursors to grow VO2 films. However, the as-deposited films are reported to have amorphous structure with no significant SMT property, therefore a postannealing process is necessary for converting the amorphous VO2 to crystalline VO2. In this study, an inorganic vanadium tetrachloride (VCl4) is used as an ALD precursor for the first time to grow VO2 films. The VO2 film is directly crystallized and grown on the substrate without any postannealing process. The VO2 film displays significant SMT behavior, which is verified by temperature-dependent Raman spectrometer and four-point-probing system. The results demonstrate that the VCl4 is suitably employed as a new ALD precursor to grow crystallized VO2 films. It can be reasonably imagined that the VCl4 can also be used to grow various directly crystallized vanadium oxides by controlling the ALD-process parameters.
8

Barr, Maissa K. S., Sonja Stefanovic, Negar Gheshlaghi, David Zanders, Anjana Devi, and Julien Bachmann. "Direct Patterning of ZnO Deposition By Atomic-Layer Additive Manufacturing Using a Safe and Economical Precursor." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1133. http://dx.doi.org/10.1149/ma2022-02311133mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
AS-ALD has the potential to overcome many of the challenges the semiconductor industry is facing by enabling self-aligned fabrication, instead of lithography’s cost, resit, equipment. Main challenges in AS-ALD are the need for pre-patterned substrates, defects outside of the desired growing area resulting from insufficient selectivity, and limited selection of substrate materials that allow deposition. [1, 2] To overcome these challenges, we chose for the first time the novelty of direct patterning with an AL-3D printer, also called atomic layer additive manufacturing (ALAM). 3D-printing is a flexible and efficient tool for reducing the cost and time spend for designing and manufacturing. AL-3D in principle functions similar to conventional g-ALD and the special design of nozzle led in producing direct patterning. All the commercially available precursors which have been used in g-ALD are compatible with our invented equipment and not only we can produce the same films conveniently but also this device allows us to use new precursors e.g. Zn(DMP)2 opening a new chemistry window. We have already deposited different materials TiO2, Pt, SiO2, Al2O3 successfully using TTIP, (MeCp)PtMe3, APTES, TMA as precursor. The ZnO process is very well behaved in ALD community as a diethylzinc (ZnEt2) precursor’s very high vapor pressure and its very high reactivity to water. Our attempts at reducing the amount of vapor delivered were successful in part but the results were not sufficiently reproducible, therefore we turned to the use of a new zinc precursor instead. In this work we focus on the new precursor Zn(DMP)2 instead of ZnEt2 for ZnO deposition. The Zn(DMP)2 has a very low vapor pressure, the ethyl groups are complemented by dimethylamino substituents that stabilize central zinc ion and thereby render the molecule less reactive to water. Our characterization results shows the deposited ZnO films with our new precursor has same structure and composition as with ZnEt2 with a constant growth rate of 1.0 Å per cycle. the crystals are oriented in a roughly isotropic manner. After testing Zn(DMP)2 in classical ALD we achieved to print homogeneous patterns with well-defined edges and uniform thicknesses among the whole pattern. The rough particulate deposits indicative of uncontrolled CVD-mode deposition obtained with the ZnEt2 + water reaction are completely absent of lines obtained from the Zn(DMP)2 + water reaction. Furthermore, we fabricate a metal oxide transistor MOSFET to demonstrate the practical applications of the device. Clark; K. Tapily; K.-H. Yu; T. Hakamata; S. Consiglio; D. O´Meara; C. Wajda; J. Smith; G. Leusink; Perspective: New Process Technologies Required for Future Device and Scaling. APL Mater. 2018, 6, 05203 Fang; J. C. Ho, Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9 (9), 8651-8654.
9

Shevate, Rahul, Vepa Rozyyev, Rajesh Pathak, Anil U. Mane, Seth B. Darling, and Jeffrey W. Elam. "Tailoring the Interfacial Interactions of Porous Polymer Membranes to Accelerate Atomic Layer Deposition: The Latent Path to Antifouling Membranes." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1160. http://dx.doi.org/10.1149/ma2022-02311160mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Atomic layer deposition (ALD) is a powerful strategy to engineer hybrid organic-inorganic membranes with emergent functionalities. The combination of atomic-level thickness control, wide materials palette, and unprecedented conformality allow the physiochemical properties (e.g., hydrophilicity) of mesoporous polymer membranes to be precisely tuned. The nucleation of ALD materials growth on polymer surfaces relies on chemical interactions between the ALD metalorganic precursor and functional groups in the polymer structure and these interactions dictate the number of ALD cycles required to achieve a continuous coating. Strategies to enhance these interactions could enable desirable properties such as anti-fouling behavior to be imparted on inert polymer surfaces that lack the necessary functional groups for ALD nucleation. In this study, we demonstrate that the reactivity of polyacrylonitrile (PAN) membranes towards ALD metal oxide (MO) precursors with Lewis acid characteristics is enhanced by introducing Lewis base functional groups (amidoxime: Am) on the PAN backbone. The resulting Lewis acid-base interactions accelerates the MO nucleation in Am-PAN and reduce the number of deposition cycles required to achieve hydrophilicity compared to the untreated PAN membrane. Unveiling the reaction mechanism, the in-situ FTIR intensity changes established enhanced interaction dynamics between the ALD MO precursors and the Am-PAN membrane, unlike the PAN membrane. For similar MO cycles, through both spectroscopic and thermogravimetric analysis, we observe enhanced MO loading in the Am-PAN membrane compared to the PAN membrane. Here we have verified that strong Lewis acid-base interactions led to enhanced loading for a range of ALD MO materials including Al2O3, TiO2, SnO2, and ZnO. Most importantly, the Al2O3-Am-PAN hybrid membrane showed 23.3% higher antifouling capability compared to the pristine PAN membrane. Our approach expands the scope of design options for fouling-resistant porous hybrid inorganic-organic membranes and may reduce manufacturing costs of water treatment membranes.
10

Park, Jeongwoo, Neung Kyung Yu, Donghak Jang, Eunae Jung, Hyunsik Noh, Jiwon Moon, Deoksin Kil, and Bonggeun Shong. "Adsorption of Titanium Halides on Nitride and Oxide Surfaces during Atomic Layer Deposition: A DFT Study." Coatings 10, no. 8 (July 23, 2020): 712. http://dx.doi.org/10.3390/coatings10080712.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Various processes based on atomic layer deposition (ALD) have been reported for growing Ti-based thin films such as TiN and TiO2. To improve the uniformity and conformity of thin films grown via ALD, fundamental understanding of the precursor–substrate surface reactions is required. Herein, we present a density functional theory (DFT) study of the initial nucleation process of some titanium halide precursors (TiCl4, TiBr4, and TiI4) on Si surfaces having –OH or –NH2 functional groups. We consider the most favorable adsorption site in the reaction between the precursor and functional group of the surface, based on the thermodynamics and kinetics of the reaction. Sequential dissociation reaction mechanisms of halide ligands were systematically investigated. The exothermicity of the dissociative adsorption was found to be in the order of: TiI4 > TiBr4 > TiCl4. In addition, the precursors were observed to be more exothermic and show higher reaction rate constant when adsorbed on the –OH–terminated surface than on the –NH2–terminated surface. These observations reveal the selectivity of deposition by surface functional groups.
11

Wada, Senji, Atsushi Sakurai, Naoki Yamada, Tsuyoshi Watanabe, and Hiroyuki Uchiuzo. "Recent Development of ALD Precursors for Semiconductor Devices." ECS Transactions 25, no. 4 (December 17, 2019): 209–16. http://dx.doi.org/10.1149/1.3205056.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
12

Kanjolia, Ravi K., J. Anthis, R. Odedra, P. Williams, and P. N. Heys. "Design and Development of ALD Precursors for Microelectronics." ECS Transactions 16, no. 4 (December 18, 2019): 79–86. http://dx.doi.org/10.1149/1.2979983.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
13

Xia, Xueming, Alaric Taylor, Yifan Zhao, Stefan Guldin, and Chris Blackman. "Use of a New Non-Pyrophoric Liquid Aluminum Precursor for Atomic Layer Deposition." Materials 12, no. 9 (May 2, 2019): 1429. http://dx.doi.org/10.3390/ma12091429.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
An Al2O3 thin film has been grown by vapor deposition using different Al precursors. The most commonly used precursor is trimethylaluminum, which is highly reactive and pyrophoric. In the purpose of searching for a more ideal Al source, the non-pyrophoric aluminum tri-sec-butoxide ([Al(OsBu)3], ATSB) was introduced as a novel precursor for atomic layer deposition (ALD). After demonstrating the deposition of Al2O3 via chemical vapor deposition (CVD) and ‘pulsed CVD’ routes, the use of ATSB in an atomic layer deposition (ALD)-like process was investigated and optimized to achieve self-limiting growth. The films were characterized using spectral reflectance, ellipsometry and UV-Vis before their composition was studied. The growth rate of Al2O3 via the ALD-like process was consistently 0.12 nm/cycle on glass, silicon and quartz substrates under the optimized conditions. Scanning electron microscopy and transmission electron microscopy images of the ALD-deposited Al2O3 films deposited on complex nanostructures demonstrated the conformity, uniformity and good thickness control of these films, suggesting a potential of being used as the protection layer in photoelectrochemical water splitting.
14

Wang, Xinwei. "(Invited) ALD of FeSe2, CoSe2, and NiSe2." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1147. http://dx.doi.org/10.1149/ma2022-02311147mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The iron-group transition-metal diselenides (MSe2, M = Fe, Co, Ni) have recently gained tremendous interest in many areas. The MSe2 compounds normally form similar pyrite or marcasite crystal structures, and, with a progressive increase in the metal 3d electrons, FeSe2, CoSe2, and NiSe2 exhibit diverse and fascinating optical, magnetic, and electrical properties. In this talk, I will present our latest progress on the atomic layer deposition (ALD) of FeSe2, CoSe2, and NiSe2. These ALD processes employ the corresponding metal amidinates as the metal precursors and diethyldiselenide (DEDSe) as the selenium precursor, together with Ar/H2 plasma for DEDSe activation. All of the ALD processes are able to grow pure, smooth, and crystalline MSe2 (M = Fe, Co, Ni) films, and the MSe2 films can also be uniformly deposited into 10:1 high-aspect-ratio microtrenches with excellent conformality, which thereby shows the great promise for conformal coating on 3D complex topologies in general. In situ ALD mechanism investigation further reveals that the efficient dissociation of DEDSe by plasma is key to the success of these ALD processes.
15

Swatowska, Barbara, Wiesław Powroźnik, Halina Czternastek, Gabriela Lewińska, Tomasz Stapiński, Rafał Pietruszka, Bartłomiej S. Witkowski, and Marek Godlewski. "Application Properties of ZnO and AZO Thin Films Obtained by the ALD Method." Energies 14, no. 19 (October 1, 2021): 6271. http://dx.doi.org/10.3390/en14196271.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The thin layers of ZnO and ZnO: Al (Al doped zinc oxide—AZO) were deposited by the atomic deposition layer (ALD) method on silicon and glass substrates. The structures were deposited using diethylzinc (DEZ) and deionized water as zinc and oxygen precursors. A precursor of trimethylaluminum (TMA) was used to introduce the aluminum dopant. The present study of ALD-deposited ZnO and AZO films was motivated by their applications in photovoltaics. We attempted to expose several properties of such films. Structural, optical (including ellipsometric measurements) and electrical investigations were performed. We discussed the relations between samples doped with different Al fractions and their properties.
16

Ma, Qiang, Hansheng Guo, Roy G. Gordon, and Francisco Zaera. "Uptake of Copper Acetamidinate ALD Precursors on Nickel Surfaces." Chemistry of Materials 22, no. 2 (January 26, 2010): 352–59. http://dx.doi.org/10.1021/cm9027447.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
17

Hatanpää, Timo, Marko Vehkamäki, Mikko Ritala, and Markku Leskelä. "Study of bismuth alkoxides as possible precursors for ALD." Dalton Transactions 39, no. 13 (2010): 3219. http://dx.doi.org/10.1039/b918175j.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
18

Darapaneni, Pragathi, Anil U. Mane, Zachary D. Hood, and Jeffrey W. Elam. "Conversion Reactions and Redox Changes on the Surface of Lithium-Ion Battery Cathode Materials during Chemical Vapor Treatment for ALD." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1140. http://dx.doi.org/10.1149/ma2022-02311140mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Atomic layer deposition (ALD) has emerged as a promising technology for applying ultrathin protective coatings on lithium-ion battery (LIB) cathode surfaces to improve their cycling stability. While there have been numerous reports evaluating the electrochemical performance of these surface-modified cathode materials, the chemical changes induced on the surface of the cathode materials by the ALD coatings and the individual ALD precursors are not fully studied. We performed a systematic investigation to understand the interfacial changes of 12 different cathode materials upon coating with aluminum oxide (Al2O3) using trimethyl aluminum (TMA) and H2O, and aluminum fluoride (AlF3) using TMA and hydrogen fluoride pyridine (HFPy). We also explored the effects of the individual TMA and HFPy precursors on the cathode surfaces. The surface composition and microstructure of these cathode materials, which range from simple transition metal oxides (e.g., NiO and MnO) to complex multi-element cathode materials (e.g., LiNixMn1-x-yCoyO2, NMC), were studied via X-ray photoelectron spectroscopy (XPS) and scanning transmission electron microscopy (STEM). The XPS measurements reveal that the transition metals in the cathode materials undergo selective oxidation/reduction depending upon the nature of the precursor, the coating, and the cathode material. Furthermore, XPS and STEM measurements show the conversion of surface carbonate species to the corresponding metal fluorides upon HF exposure. This conversion reaction is self-limiting but extends hundreds of nanometers below the surface in the case of Li2CO3. ALD and chemical vapor treatment provide new avenues to systematically control the interface of the cathode materials in LIBs that are not possible by conventional coating methods.
19

Mohammad, Adnan, Deepa Shukla, Saidjafarzoda Ilhom, Brian Willis, Ali Kemal Okyay, and Necmi Biyikli. "Comparative Study on in-situ Ellipsometric Monitoring of III-Nitride Film Growth via Plasma-Enhanced Atomic Layer Deposition." International Journal of High Speed Electronics and Systems 28, no. 03n04 (September 2019): 1940020. http://dx.doi.org/10.1142/s0129156419400202.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
In this paper a comparative in-situ ellipsometric analysis is carried out on plasma-assisted ALD-grown III-nitride (AlN, GaN, and InN) films. The precursors used are TMA, TMG, and TMI for AlN, GaN, and InN respectively, while Ar is used as purge gas. For all of the films N2/H2/Ar plasma was used as the co-reactant. The work includes real-time in-situ monitored saturation curves, unit ALD cycle analysis, and >500 cycle film growth runs. In addition, the films are grown at different substrate temperatures to observe the impact of temperature not only on the growth rate but on how it influenced the precursor chemisorption, ligand removal, and nitrogen incorporation surface reactions. All three nitride films confirm fairly linear growth character. The growth rate per cycle (GPC) for each film is also measured with respect to rf-plasma power to obtain the surface saturation conditions during ALD growth. The real-time in-situ monitoring of the film growth can really be beneficial to understand the atomic layer growth and film formation in each individual ALD cycle.
20

Hagen, D. J., L. Mai, A. Devi, J. Sainio, and M. Karppinen. "Atomic/molecular layer deposition of Cu–organic thin films." Dalton Transactions 47, no. 44 (2018): 15791–800. http://dx.doi.org/10.1039/c8dt03735c.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The gas-phase atomic/molecular layer deposition (ALD/MLD) technique is strongly emerging as a viable approach for fabricating new exciting inorganic–organic hybrid thin-film materials. Here we report new ALD/MLD processes for copper-based hybrid materials based on five different organic precursors.
21

Blagoev, B. S., D. A. Delibatov, V. B. Mehandzhiev, P. Sveshtarov, P. Terziyska, I. Avramova, and P. M. Rafailov. "Optimization of atomic layer deposition of Al2O3 films as possible template for graphene transfer." Journal of Physics: Conference Series 2240, no. 1 (March 1, 2022): 012002. http://dx.doi.org/10.1088/1742-6596/2240/1/012002.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Abstract Nanofilms of aluminium oxide Al2O3 (ALO) were grown on Si, Cu and graphene/Cu substrates by atomic layer deposition (ALD). Trimethylaluminium (TMA) and deionized (DI) water were used as precursors for the ALD process. In order to obtain the ALD temperature window for the above-mentioned precursors, ALO nanofilms were deposited on Si substrates at temperatures ranging from 50 °C to 300 °C. Based on ellipsometry measurements of the films thickness, the growth rate of ALO films obtained was between 1.15 Å/cycle and 1.25 Å/cycle. Ellipsometry and XPS analyses showed that the optimal growth temperature for ALO films is between 150 °C and 200 °C. A graphene layer was grown on a Cu foil by chemical vapor deposition (CVD) and coated with an ALD ALO nanofilm. Raman spectroscopy was used to characterize the influence of ALO films deposition on the graphene layer. A Raman intensity enhancement for all graphene bands in the Al2O3/graphene/Cu sample was observed.
22

Seweryn, Aleksandra, Krystyna Lawniczak-Jablonska, Piotr Kuzmiuk, Sylwia Gieraltowska, Marek Godlewski, and Robert Mroczynski. "Investigations of Structural and Electrical Properties of ALD Films Formed with the Ozone Precursor." Materials 14, no. 18 (September 18, 2021): 5395. http://dx.doi.org/10.3390/ma14185395.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The continuous development of ALD thin films demands ongoing improvements and changes toward fabricating materials with tailored properties that are suitable for different practical applications. Ozone has been recently established as a precursor, with distinct advantages over the alternative oxidizing precursors in the ALDs of advanced dielectric films. This study reports alumina (Al2O3) and hafnia (HfO2) formation using an O3 source and compares the obtained structural and electrical properties. The performed structural examinations of ozone-based materials proved homogenous high-k films with less vacancy levels compared to water-based films. The enhanced structural properties also result in the problematic incorporation of different dopants through the bulk layer. Furthermore, analysis of electrical characteristics of the MIS structures with ALD gate dielectrics demonstrated the improved quality and good insulating properties of ozone-based films. However, further optimization of the ALD technique with ozone is needed as a relatively low relative permittivity characterizes the ultra-thin films.
23

Han, Seong Ho, Raphael Edem Agbenyeke, Ga Yeon Lee, Bo Keun Park, Chang Gyoun Kim, Young Kuk Lee, Seung Uk Son, and Taek-Mo Chung. "Synthesis and characterization of novel zinc precursors for ZnO thin film deposition by atomic layer deposition." Dalton Transactions 49, no. 14 (2020): 4306–14. http://dx.doi.org/10.1039/c9dt04644e.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
24

Barr, Maissa K. S., Baolin Zhao, Peter Von Grundherr, Vanessa Koch, Jaroslav Charvot, Marcus Halik, Filip Bures, and Julien Bachmann. "Solution ALD: A Versatility Process for the Growth of Sulfides and Selenides." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1148. http://dx.doi.org/10.1149/ma2022-02311148mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
We have proposed and demonstrated a novel thin film deposition technique by transferring the principles of atomic layer deposition (ALD), known with gaseous precursors, towards precursors dissolved in a liquid. The technique can also be considered as a generalization of already established methods such as the ‘layer by layer’ growth or the ‘successive ion layer adsorption and reaction’ (SILAR). 'Solution ALD' (sALD) shares the fundamental properties of standard ‘gas ALD’ (gALD), specially the self-limiting growth and the ability to coat conformally deep pores. It has been already shown that it is possible to transfer standard reactions from gALD to sALD such as TiO2 deposition . However, sALD also offers novel opportunities such as overcoming the need for volatile and thermally robust precursors. To illustrate this, we establish sALD procedures for depositing films of non oxides layers such as Pb-compound deposition, MOF, selenides and sulfides. Those examples highlight how ionic, polar, or high-molecular weight precursors that only exist in the condensed phase are now rendered amenable to being utilized in surface-controlled thin film formation by sALD for depositing materials that would otherwise be more difficult or more expensive to achieve by gALD or hazardous. The deposition was achieved on small (2 cm*1 cm) and large samples (up to 10 cm*10 cm). The ALD behavior has been shown. The influence of the deposition parameters on the morphology, the crystalline structure and the chemical composition has been investigated by scanning electron microscopy, atomic force microscopy, grazing incidence x-ray diffraction and x-ray photoelectron spectroscopy. Additionally, the nucleation is studied in function of chemical pretreatment to control the growth and allow selective deposition of SnS, SnSe and Sb2Se3. This particular ALD process offer the growth of high quality and crystalline layers in middle conditions with the use of low cost and environmental friendly precursors. Y. Wu, D. Döhler, M. Barr, E Oks,M. Wolf, L. Santinacci and J. Bachmann, Nano Lett. 2015, 15, 6379 J. Fichtner, Y. Wu, J. Hitzenberger, T. Drewello and J. Bachmann, ECS J. Solid State Sci. Technol. 2017, 6, N171
25

Segal-Peretz, Tamar. "(Invited) ALD-Based Infiltration and Growth of Inorganic Materials in Polymers." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1158. http://dx.doi.org/10.1149/ma2022-02311158mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Sequential infiltration synthesis (SIS) has emerged in the past decade as a powerful technique for growth of inorganic materials within polymers through atomic layer deposition (ALD) chemistry. In SIS, ALD precursors diffuse into the polymer and interact with it, leading to inorganic materials growth within the polymer’s free volume. If desired, the polymer can later be removed, yielding polymer-templated inorganic structures. Combining SIS with self-assembled block copolymer (BCP) patterns results in selective growth of inorganic materials within the polar domains of the BCP, making it an attractive method for directed templating of inorganic nanostructures. Thus, SIS opens a pathway for exploiting ALD precision and rich materials library in new 3D morphologies, defined by the polymer. To build SIS design rules and expand SIS’ possibilities, we probed SIS growth and evolution at the atomic scale and explored the role of reversible polymer-precursor interactions in SIS growth through a plethora of methods: in-situ growth analysis (microgravimetry and FTIR), ex-situ high-resolution electron microscopy and extended X-ray absorption fine structure (EXAFS), and density functional theory (DFT) calculations. This knowledge was then applied in fabrication by design of metal oxide fibers, porous particles, and membranes. We fabricated Al2O3 and ZnO nanofibers, nanobelts, and core-shell fibers using designed growth profiles within electrospun polymer fibers. By controlling the organometallic precursors’ diffusion time, simultaneous but spatially controlled growth of Al2O3 and ZnO within the fibers was achieved, leading to the formation of metal oxide core-shell fibers. Self-assembled BCP particles were used to template porous metal oxide particles by selective growth of Al2O3 in the major block of the self-assembled structure. The uniform BCP assembly led to uniporous pores in the metal oxide particles. Finally, we utilized SIS and ALD within and onto BCP membranes for exceptional pore size control and pores’ surface engineering, yielding highly selective filtration membranes.
26

Liu, Kuang-I., Chi-Chung Kei, Mrinalini Mishra, Po-Hsun Chen, Wei-Szu Liu, and Tsong-Pyng Perng. "Uniform coating of TiO2 on high aspect ratio substrates with complex morphology by vertical forced-flow atomic layer deposition." RSC Advances 7, no. 55 (2017): 34730–35. http://dx.doi.org/10.1039/c7ra04853j.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
27

Obuchovsky, S., I. Deckman, M. Moshonov, T. Segal Peretz, G. Ankonina, T. J. Savenije, and G. L. Frey. "Atomic layer deposition of zinc oxide onto and into P3HT for hybrid photovoltaics." J. Mater. Chem. C 2, no. 42 (2014): 8903–10. http://dx.doi.org/10.1039/c4tc01629g.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
28

Powell, Rory, Jungwoo Lim, Alex R. Neale, Paul R. Chalker, and Laurence J. Hardwick. "Atomic Layer Vs. Sol-Gel Deposited Coatings for Long Cycle-Life Li-Ion Battery Positive Electrodes." ECS Meeting Abstracts MA2022-01, no. 4 (July 7, 2022): 515. http://dx.doi.org/10.1149/ma2022-014515mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
High nickel content layered oxide positive electrodes for Li-ion batteries suffer from capacity fade due to, among other factors, particle cracking induced by lithiation/delithiation, transition metal dissolution into the electrolyte, and attack from HF. Surface modification has been shown to reduce the severity of these degradation mechanisms by blocking transition metal ions from dissolving, and protecting the surface from the electrolyte decomposition products, as well as physically restraining the particles to reduce cracking. Atomic layer deposition (ALD) is a coating method that promises good uniformity and a high level of thickness control with a wide range of possible coating materials. ALD is a derivation of chemical vapor deposition in which chemical precursors are injected separately into a low pressure heated reaction vessel. Precursors are selected to create a self-limiting reaction at each step, allowing monolayers of precursor to be deposited on each cycle.1 With a large chemical space to explore, the challenge remains to find a coating material that offers substantial protection and lifetime extension, while allowing facile Li+ diffusion that does not substantially impede the capacity and rate capability of the cell. Alumina, Al2O3, being the archetypal ALD coating material has been frequently explored to improve the stability of known Li-ion positive electrode materials.2 Recently Wang et al. found marked stability improvement of Al2O3 coated LiNi0.6Mn0.2Co0.2O2 over even as few as 40 charge/discharge cycles.3 In this work, we present a comparison study of alumina coatings on LiNi0.6Mn0.2Co0.2O2 deposited by ALD versus coatings prepared through a sol-gel method tested to 4.5 V vs Li+/Li (Figure 1). Depositing a range of Al2O3 thicknesses by ALD we see a general trend of increased capacity retention with increased coating thickness. Multiple Al-containing precursors have been investigated for sol-gel coatings and we have found varying results, some giving comparable performances to the ALD coated samples, whereas other sol-gel precursors resulted in poorer performance than the uncoated material. Both types of films have been characterised by low energy ion scattering and x-ray photoelectron spectroscopy to detect the presence of the coatings, as well as electron microscopy with energy dispersive x-ray spectroscopy to characterise the uniformity and thickness of the coatings. R. L. Puurunen, J. Appl. Phys., 97, 121301 (2005). L. A. Riley, S. V. Atta, A. S. Cavanagh, Y. Yan, S. M. George, P. Liu, A. C. Dillon, and S. Lee, J. Power Sources, 196, 3317–3324 (2011). X. Wang J. Cai, Y. Liu, X. Han, Y. Ren, J. Li, Y. Liu, and X. Meng, Nanotechnology, 32, 115401 (2020). Figure 1: Capacity retention after 3 formation cycles of LiNi0.6Mn0.2Co0.2O2 positive electrodes uncoated (black), Al2O3 coating by 50 ALD cycles (blue) and 2 wt% Aluminium tri sec-butoxide sol-gel (red). Cells were cycled at 2.5-4.5 V vs Li+/L at 25 °C at 1C after 3 formation cycles at C/10. The negative electrode was Li metal and the electrolyte was 1.0 M LiPF6 in ethylene carbonate : ethyl methyl carbonate 3:7 v/v with 1 wt% vinyl carbonate. Figure 1
29

Blakeney, Kyle J., Philip D. Martin, and Charles H. Winter. "Aluminum dihydride complexes and their unexpected application in atomic layer deposition of titanium carbonitride films." Dalton Transactions 47, no. 32 (2018): 10897–905. http://dx.doi.org/10.1039/c8dt02508h.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
30

Hanrahan, Brendan, Cosme Milesi-Brault, Asher Leff, Alexis Payne, Shi Liu, Mael Guennou, and Nicholas Strnad. "The other model antiferroelectric: PbHfO3 thin films from ALD precursors." APL Materials 9, no. 2 (February 1, 2021): 021108. http://dx.doi.org/10.1063/5.0035730.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
31

Violet, Perrine, Ioana Nuta, Christian Chatillon, and Elisabeth Blanquet. "On gaseous phase of ALD precursors by means of thermodynamics." ECS Transactions 25, no. 8 (December 17, 2019): 567–73. http://dx.doi.org/10.1149/1.3207641.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
32

Hatanpää, Timo, Viljami Pore, Mikko Ritala, and Markku Leskelä. "Alkylsilyl Compounds of Selenium and Tellurium: New Precursors for ALD." ECS Transactions 25, no. 8 (December 17, 2019): 609–16. http://dx.doi.org/10.1149/1.3207647.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
33

Leskelä, Markku, and Mikko Ritala. "Atomic layer deposition (ALD): from precursors to thin film structures." Thin Solid Films 409, no. 1 (April 2002): 138–46. http://dx.doi.org/10.1016/s0040-6090(02)00117-7.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
34

Rushworth, Simon, Kathleen Coward, Hywel Davies, Peter Heys, Thomas Leese, Louis Kempster, Rajesh Odedra, Fuquan Song, and Paul Williams. "Thermal stability studies for advanced Hafnium and Zirconium ALD precursors." Surface and Coatings Technology 201, no. 22-23 (September 2007): 9060–65. http://dx.doi.org/10.1016/j.surfcoat.2007.04.050.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
35

Kaur, Parmish, Arbresha Muriqi, Jan-Lucas Wree, Ramin Ghiyasi, Muhammad Safdar, Michael Nolan, Maarit Karppinen, and Anjana Devi. "Atomic/molecular layer deposition of cerium(iii) hybrid thin films using rigid organic precursors." Dalton Transactions 51, no. 14 (2022): 5603–11. http://dx.doi.org/10.1039/d2dt00353h.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
36

Huang, Liang, Bo Han, Maohong Fan, and Hansong Cheng. "Design of efficient mono-aminosilane precursors for atomic layer deposition of SiO2 thin films." RSC Advances 7, no. 37 (2017): 22672–78. http://dx.doi.org/10.1039/c7ra02301d.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
37

Katamreddy, Rajesh, Ronald Inman, Gregory Jursich, Axel Soulet, and Christos Takoudis. "Atomic layer deposition of HfO2, Al2O3, and HfAlOx using O3 and metal(diethylamino) precursors." Journal of Materials Research 22, no. 12 (December 2007): 3455–64. http://dx.doi.org/10.1557/jmr.2007.0439.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Tetrakis-diethylamino hafnium (TDEAH), tris-diethylamino aluminum (TDEAA), and ozone were used for the atomic layer deposition (ALD) of HfO2, Al2O3, and HfAlOx films. The ALD rates were measured to be 1.1 Å/cycle for HfO2 and 1.3 Å/cycle for Al2O3. The ALD temperature windows were found to be between 200 and 325 °C for TDEAA, and between 200 and 275 °C for TDEAH. The overlap of these ALD windows between 200 and 275 °C is critical for ALD of the composite film, HfAlOx. In addition to the overlapping ALD temperature windows, the two metal precursors have similar thermal characteristics, as shown by TGA and differential scanning calorimetry. As-deposited films and films postannealed at 600 and 800 °C films were analyzed using Fourier transformed infrared (FTIR) spectroscopy, x-ray photoelectron spectroscopy, and x-ray diffraction (XRD) techniques. FTIR spectra revealed interfacial oxide growth during deposition of both HfO2 and Al2O3 whose thickness increased with annealing temperature. The FTIR data also indicated hydroxyl and nitrate groups in the films; these species were removed after annealing in Ar at a temperature of ⩾600 °C. Both FTIR and XRD results indicated the crystallization of pure HfO2 after annealing at temperatures as low as 600 °C. On the other hand, pure Al2O3 remained amorphous after annealing at temperatures up to 800 °C. XRD data of the composite HfAlOx film show that films deposited by alternating five cycles of HfO2 and one cycle of Al2O3 remained amorphous after annealing at 600 °C. Rutherford backscattering analysis of HfAlOx deposited with a varied number of alternating HfO2 and Al2O3 cycles demonstrated a strong correlation between the cyclic dosage of TDEAA and TDEAH and the film composition.
38

Fontecha, Daniela, R. Blake Nuwayhid, Alexander C. Kozen, David M. Stewart, Gary W. Rubloff, and Keith E. Gregorczyk. "Low temperature plasma-enhanced atomic layer deposition of sodium phosphorus oxynitride with tunable nitrogen content." Journal of Vacuum Science & Technology A 40, no. 3 (May 2022): 032403. http://dx.doi.org/10.1116/6.0001752.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Atomic layer deposition (ALD) is a key technique in processing new materials compatible with complex architectures. While the processing space for Li-containing ALD thin films has been relatively well explored recently, the space for other alkali metal thin films (e.g., Na) is more limited. Thermal ALD and plasma-enhanced ALD (PEALD) lithium phosphorus oxynitride [Kozen et al., Chem. Mater. 27, 5324 (2015); Pearse et al., Chem. Mater. 29, 3740 (2017)] processes as well as analogous thermal sodium phosphorus oxynitride (NaPON) (Ref. 13) have been previously developed as conformal ALD solid state electrolytes. The main difference between the Na and Li processes is the alkali tert-butoxide precursor (AOtBu, A = Li, Na). One would expect such an isoelectronic substitution with precursors that have similar structure and properties to correlate with a similarly behaved ALD process. However, this work demonstrates that the PEALD NaPON process unexpectedly behaves quite differently from its Li counterpart, introducing some insight into the development of Na-containing thin films. In this work, we demonstrate process development and characterization of an analogous low temperature (250 °C) PEALD of NaPON. This process demonstrates significant tunability of N coordination states by varying plasma nitrogen exposure time. Electrochemical characterization showed an ionic conductivity of 8.2 × 10−9 S/cm at 80 °C and activation energy of 1.03 eV. This first instance of low temperature NaPON deposition by PEALD shows promise for further development and understanding of more versatile processing of Na thin film materials.
39

Sundberg, Pia, and Maarit Karppinen. "Organic and inorganic–organic thin film structures by molecular layer deposition: A review." Beilstein Journal of Nanotechnology 5 (July 22, 2014): 1104–36. http://dx.doi.org/10.3762/bjnano.5.123.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The possibility to deposit purely organic and hybrid inorganic–organic materials in a way parallel to the state-of-the-art gas-phase deposition method of inorganic thin films, i.e., atomic layer deposition (ALD), is currently experiencing a strongly growing interest. Like ALD in case of the inorganics, the emerging molecular layer deposition (MLD) technique for organic constituents can be employed to fabricate high-quality thin films and coatings with thickness and composition control on the molecular scale, even on complex three-dimensional structures. Moreover, by combining the two techniques, ALD and MLD, fundamentally new types of inorganic–organic hybrid materials can be produced. In this review article, we first describe the basic concepts regarding the MLD and ALD/MLD processes, followed by a comprehensive review of the various precursors and precursor pairs so far employed in these processes. Finally, we discuss the first proof-of-concept experiments in which the newly developed MLD and ALD/MLD processes are exploited to fabricate novel multilayer and nanostructure architectures by combining different inorganic, organic and hybrid material layers into on-demand designed mixtures, superlattices and nanolaminates, and employing new innovative nanotemplates or post-deposition treatments to, e.g., selectively decompose parts of the structure. Such layer-engineered and/or nanostructured hybrid materials with exciting combinations of functional properties hold great promise for high-end technological applications.
40

Zhang, Yichi, Yangyao Ding, and Panagiotis D. Christofides. "Integrating Feedback Control and Run-to-Run Control in Multi-Wafer Thermal Atomic Layer Deposition of Thin Films." Processes 8, no. 1 (December 21, 2019): 18. http://dx.doi.org/10.3390/pr8010018.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
There is currently a lack of understanding of the deposition profile in a batch atomic layer deposition (ALD) process. Also, no on-line control scheme has been proposed to resolve the prevalent disturbances. Motivated by this, we develop a computational fluid dynamics (CFD) model and an integrated online run-to-run and feedback control scheme. Specifically, we analyze a furnace reactor for a SiO2 thin-film ALD with BTBAS and ozone as precursors. Initially, a high-fidelity 2D axisymmetric multiscale CFD model is developed using ANSYS Fluent for the gas-phase characterization and the surface thin-film deposition, based on a kinetic Monte-Carlo (kMC) model database. To deal with the disturbance during reactor operation, a proportional integral (PI) control scheme is adopted, which manipulates the inlet precursor concentration to drive the precursor partial pressure to the set-point, ensuring the complete substrate coverage. Additionally, the CFD model is utilized to investigate a wide range of operating conditions, and a regression model is developed to describe the relationship between the half-cycle time and the feed flow rate. A run-to-run (R2R) control scheme using an exponentially weighted moving average (EWMA) strategy is developed to regulate the half-cycle time for the furnace ALD process between batches.
41

Wang, Ching-Yu, Kai Shen, Raymond J. Gorte, and John M. Vohs. "Preparation of SBA-15-Supported Metals by Vapor-Phase Infiltration." Inorganics 10, no. 11 (November 19, 2022): 215. http://dx.doi.org/10.3390/inorganics10110215.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
A simple method is presented for incorporating various catalytic metals into the pores of SBA-15 using vapor-phase infiltration. The precursors used in Atomic Layer Deposition (ALD) for Pt, Pd, Rh, Ru, and Ni were exposed to an evacuated SBA-15, resulting in monolayer films of the adsorbed precursors inside the mesopores. The metal particles that formed after removal of the precursor ligands remained in the pores and had particle sizes ranging from 3.8 nm for Pt to 5.2 nm for Ni, as determined by Transmission Electron Microscopy (TEM), XRD, and CO chemisorption. Metal loadings for saturation exposures ranged from 5.1-wt% for Ni to 9.1-wt% for Pt; however, uniform deposition was demonstrated for lower loadings of Pd by decreasing the amount of precursor. To determine the effect of the surface composition of the mesopores, Pd particles were also added to SBA-15 that was coated with a 0.2-nm film of ZrO2.
42

Dey, Gangotri, Jacqueline S. Wrench, Dirk J. Hagen, Lynette Keeney, and Simon D. Elliott. "Quantum chemical and solution phase evaluation of metallocenes as reducing agents for the prospective atomic layer deposition of copper." Dalton Transactions 44, no. 22 (2015): 10188–99. http://dx.doi.org/10.1039/c5dt00922g.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
We propose and evaluate the use of metallocene compounds as reducing agents for the chemical vapour deposition (and specifically atomic layer deposition, ALD) of the transition metal Cu from metalorganic precursors.
43

Heiska, Juho, Mikko Nisula, Eeva-Leena Rautama, Antti J. Karttunen, and Maarit Karppinen. "Atomic/molecular layer deposition and electrochemical performance of dilithium 2-aminoterephthalate." Dalton Transactions 49, no. 5 (2020): 1591–99. http://dx.doi.org/10.1039/c9dt04572d.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Crystalline Li-terephthalate and amino-functionalized Li-terephthalate thin film electrodes are fabricated from gaseous precursors with ALD/MLD to show that the electron-donating amino group lowers the redox potential.
44

Nilsen, Ola. "(Tutorial) ALD Precursors and Processes for Alkali Metal-Containing Thin Films." ECS Meeting Abstracts MA2021-02, no. 29 (October 19, 2021): 846. http://dx.doi.org/10.1149/ma2021-0229846mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
45

Dussarrat, C. "Design, Synthesis and ALD Assessment of Organometallic Precursors for Semiconductor Applications." ECS Transactions 64, no. 9 (August 13, 2014): 233–41. http://dx.doi.org/10.1149/06409.0233ecst.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
46

Iwanaga, Kohei, Ken-ichi Tada, Hirokazu Chiba, Toshiki Yamamoto, Atsushi Maniwa, Tadahiro Yotsuya, and Noriaki Oshima. "Development of Novel Silicon Precursors for Low-Temperature CVD/ALD Processes." ECS Transactions 41, no. 2 (December 16, 2019): 211–18. http://dx.doi.org/10.1149/1.3633670.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
47

Gaskell, Jeffrey M., Anthony C. Jones, Kate Black, Paul R. Chalker, Thomas Leese, Andrew Kingsley, Rajesh Odedra, and Peter N. Heys. "Liquid injection MOCVD and ALD of ZrO2 using Zr–cyclopentadienyl precursors." Surface and Coatings Technology 201, no. 22-23 (September 2007): 9095–98. http://dx.doi.org/10.1016/j.surfcoat.2007.04.098.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
48

Hatanpää, Timo, Mikko Ritala, and Markku Leskelä. "Precursors as enablers of ALD technology: Contributions from University of Helsinki." Coordination Chemistry Reviews 257, no. 23-24 (December 2013): 3297–322. http://dx.doi.org/10.1016/j.ccr.2013.07.002.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
49

Verdonck, P., A. Delabie, J. Swerts, L. Farrell, M. R. Baklanov, H. Tielens, E. Van Besien, T. Witters, L. Nyns, and S. Van Elshocht. "Chemisorption of ALD precursors in and on porous low-k films." Microelectronic Engineering 106 (June 2013): 81–84. http://dx.doi.org/10.1016/j.mee.2013.01.004.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
50

Wang, Xinwei. "(Invited) Surface Thermolysis of ALD Precursors and Its Implications for Deposition." ECS Meeting Abstracts MA2020-02, no. 23 (November 23, 2020): 1667. http://dx.doi.org/10.1149/ma2020-02231667mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

До бібліографії