Дисертації з теми "Optimization of the deposition bath"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: Optimization of the deposition bath.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 дисертацій для дослідження на тему "Optimization of the deposition bath".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Hildebrandt, Thibaud. "Optimisation des interfaces absorbeur/couche tampon/fenêtre avant dans les cellules solaires à base de Cu(In,Ga)Se2." Thesis, Paris 6, 2014. http://www.theses.fr/2014PA066720.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le remplacement du CdS dans les cellules solaires à base de Cu(In,Ga)Se2 est un des défis majeurs de la communauté. À ce jour un des matériaux les plus prometteurs est le Zn(S,O,OH) déposée par voie chimique en solution. En raison de la faible vitesse de dépôt du matériau et des phénomènes de métastabilités présents dans les dispositifs formés, il apparaît nécessaire d’optimiser les conditions expérimentales et les interfaces. La 1ère partie de ces travaux a été consacré à l’optimisation des conditions de dépôt des couches minces de Zn(S,O,OH) grâce à l’introduction d’additifs. Il a été possible de souligner l’effet des additifs sur la composition des couches déposées et sur les vitesses de réaction. La 2ème partie de ces travaux a été consacrée à l’optimisation des conditions de dépôt par pulvérisation cathodique de la fenêtre avant (Zn,Mg)O/ZnO :Al permettant une diminution des phénomènes de métastabilité et une limitation de la migration de sodium jusqu’au Zn(S,O,OH). Ces conditions combinées à une variation de la composition de la surface du CIGSe a permis d’obtenir des rendements de photo-conversion supérieurs à ceux des références à base de CdS
The replacement of CdS-based buffer layer in Cu(In,Ga)Se2 solar cells has been one of the main challenges of the research community for the last decade. Today, one of the most promising alternative material is the chemically bath deposited Zn(S,O,OH). Because of its low deposition rate and of metastable behavior, it becomes necessary to proceed to an optimization of experimental conditions and of the various interfaces. The first part of this work has been dedicated to the optimization of the deposition bath thanks to the introduction of new additives. It has been possible to underline the additive effects on both the deposition rate and on the chemical composition of the deposited layers. The second part of this work has been dedicated to the optimization of the (Zn,Mg)O/ZnO:Al window layer. Thanks to an improvement of the sputtering conditions, it has been possible to reduce metastability of the solar cells, and to limit sodium migration up to the Zn(S,O,OH) layer. These optimized conditions combined to the variation of the CIGSe surface composition have allowed us to outperform CdS-based references solar cells
2

Urgessa, Zelalem Nigussa. "Growth and characterization of ZnO nanorods using chemical bath deposition." Thesis, Nelson Mandela Metropolitan University, 2012. http://hdl.handle.net/10948/d1021124.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Semiconductor devices are commonplace in every household. One application of semiconductors in particular, namely solid state lighting technology, is destined for a bright future. To this end, ZnO nanostructures have gained substantial interest in the research community, in part because of its requisite large direct band gap. Furthermore, the stability of the exciton (binding energy 60 meV) in this material, can lead to lasing action based on exciton recombination and possibly exciton interaction, even above room temperature. Therefore, it is very important to realize controllable growth of ZnO nanostructures and investigate their properties. The main motivation for this thesis is not only to successfully realize the controllable growth of ZnO nanorods, but also to investigate the structure, optical and electrical properties in detail by means of scanning electron microscopy (SEM), transmission electron microscopy (TEM), photoluminescence (PL) spectroscopy (steady state and time resolved) and X-ray diffraction (XRD). Furthermore, strong rectification in the ZnO/p-Si heterojunction is demonstrated. Nanorods have been successfully synthesized on silicon by a two-step process, involving the pre-coating of the substrate by a seed layer, followed by the chemical bath deposition of the nanorods. ZnO seed layers with particle sizes of about 5 nm are achieved by the thermal decomposition of zinc acetate dihydrate dissolved in ethanol. The effects of the seed layer density on the distribution, alignment and uniformity of subsequently grown nanorods were studied. The aspect ratio, orientation and distribution of nanorods are shown to be well controlled through adjusting the density of the ZnO nanoparticles pre-coated onto the substrates. It is shown that the seed layer is a prerequisite for the growth of well aligned ZnO nanorods on lattice mismatched Si substrate. The influence of various nanorod growth parameters on the morphology, optical and electrical properties of the nanorods were also systematically studied. These include the oxygen to zinc molar ratio, the pH of the growth solution, the concentration of the reactants, the growth temperature and growth time, different hydroxide precursors and the addition of surface passivating agents to the growth solution. By controlling these xii parameters different architectures of nanostructures, like spherical particles, well aligned nanorods, nanoflowers and thin films of different thicknesses are demonstrated. A possible growth mechanism for ZnO nanostructures in solution is proposed. XRD indicated that all the as-grown nanostructures produced above 45 C crystallize in the wurtzite structure and post growth annealing does not significantly enhance the crystalline quality of the material. In material grown at lower temperature, traces of zinc hydroxide were observed. The optical quality of the nanostructures was investigated using both steady-state PL and time-resolved (TR) PL from 4 K to room temperature. In the case of as-grown samples, both UV and defect related emissions have been observed for all nanostructures. The effect of post-growth annealing on the optical quality of the nanostructures was carefully examined. The effect of annealing in different atmospheres was also investigated. Regardless of the annealing environment annealing at a temperature as low as 300 C enhances the UV emission and suppresses defect related deep level emission. However, annealing above 500 C is required to out-diffuse hydrogen, the presence of which is deduced from the I4 line in the low temperature PL spectra of ZnO. TRPL was utilized to investigate lifetime decay profiles of nanorods upon different post growth treatments. The bound exciton lifetime strongly depends on the post-growth annealing temperature: the PL decay time is much faster for as grown rods, confirming the domination of surface assisted recombination. In general, the PL analysis showed that the PL of nanorods have the same characteristics as that of bulk ZnO, except for the stronger contribution from surface related bound excitons in the former case. Surface adsorbed impurities causing depletion and band bending in the near surface region is implied from both time resolved and steady state PL. Finally, although strong rectification in the ZnO/p-Si heterojunction is illustrated, no electroluminescence has been achieved. This is explained in terms of the band offset between ZnO and Si and interfacial states. Different schemes are proposed to improve the performance of ZnO/Si heterojunction light emitting devices.
3

Fjällström, Emil. "Synthesis of CdZnS by Chemical Bath Deposition for Thin Film Solar Cells." Thesis, Uppsala universitet, Fasta tillståndets elektronik, 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-324899.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The buffer layer is a crucial component in thin film solar cells. Defects at the interface between absorber and buffer layer lead to high recombination rate and the band structure at the interface highly affects the performance of the solar cell. In this thesis a method to synthesize thin films containing cadmium, zinc and sulfur, CdZnS, by chemical bath deposition has been developed and evaluated. A higher current from the device is expected when replacing the common buffer layer cadmium sulfide, CdS, with the more transparent CdZnS. It is also possible that the alternative buffer provides a more favorable energy band alignment at the interface with the absorber Copper-Zinc-Tin-Sulfide (CZTS). The deposition process was developed by studying depositions on glass. Increasing [Zn2+]/[Cd2+] initially led to films with higher band gap (Eg). By varying deposition time the time before colloidal growth became dominant was observed. Addition of triethanolamine showed that triethanolamine binds stronger to zinc ions than to cadmium ions. Two recipes that led to Eg=2.63 eV were evaluated as buffer layer in Copper-Indium-Gallium-Selenide (CIGSe) and CZTS solar cells. The short circuit current of the devices increased in general with the CdZnS buffers compared to CdS. The best CZTS cell with a CdZnS buffer layer had 7.7 % efficiency compared to the 7.5 % reference. For future research it is recommended that the effect of thickness variation and deposition temperature is evaluated and that additional material characterization is performed in order to further understand and develop the deposition method.
4

Khallaf, Hani. "Chemical Bath Deposition of Group II-VI Semiconductor Thin Films for Solar Cells Applications." Doctoral diss., University of Central Florida, 2009. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/2101.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Chemical bath deposition (CBD) is the analog in liquid phase of the well-known chemical vapor deposition technique in the vapor phase. In CBD, deposition of thin films takes place from aqueous solutions at low temperatures by a chemical reaction between dissolved precursors, with the help of a complexing agent. Among all techniques used to grow Group II-VI semiconductors, CBD has the advantage of being a simple, low temperature, and inexpensive large-area deposition technique. So far, its contribution in thin film solar cells industry has been mainly limited to growing n-type CdS and/or ZnS window layers for CdTe-based and CIGS-based solar cells. In this work we first optimize the CBD process of CdS using nitrilotriacetic acid and hydrazine as complexing agents as an alternative to ammonia. We then study the effect of the cadmium precursor on the optical/electrical properties, as well as crystal structure, morphology, and composition of CBD-CdS films. A better understanding of the CBD process of CdS as a whole has been achieved and high quality CBD-CdS films have been obtained. Next, we investigate in-situ doping of CBD-CdS with group III elements, such as B, Al, In, and Ga. The objective is to show that CBD is capable of not only growing CdS but also of doping it to reduce its resistivity and, as a result, facilitate its use in solar cells as well as other optoelectronic device fabrication. A four orders of magnitude drop of film resistivity has been achieved without a significant change in film bandgap, structure, or morphology. Finally, we test the possibility of using CBD to grow transparent conducting oxide (TCO) films, such as Al-doped ZnO films and cadmium stannate films. First, we study CBD of ZnO and later in-situ doping of ZnO using Al. High quality ZnO thin films have been grown using CBD with the help of four different complexing agents. Post heat treatment in argon ambient helped reduce resistivity of CBD-ZnO undoped films to ~ 10-1 Ω-cm. In-situ doping of such films using Al shows promising results. Such films could be an alternative to indium tin oxide (ITO) layers that are commonly used as TCO layers for solar cells. Another approach is to use CBD to grow CdO and SnO2 thin films, with the goal of obtaining Cd2SnO4 by later annealing of these two layers. Cadmium stannate is another TCO candidate that could replace ITO in the near future. We have succeeded in growing CBD-CdO thin films using three different complexing agents. Undoped CBD-CdO films with a resistivity as low as 1.01 x10-2 [omega]-cm and a carrier density as high as 2.59 x 1020 cm-3 have been obtained. SnO2 films have been successfully grown using CBD. Fabrication of Cadmium stannate thin films using CBD is investigated. In summary, our objective to expand the use of CBD beyond just growing CdS and ZnS, and to test the possibility of using it for in-situ doping of group II-VI semiconductors as well as TCO layers fabrication proved to be successful. We believe that this may have a significant impact on solar cells as well as other optoelectronic devices fabrication industry, due to the simplicity and the cost-effectiveness of CBD.
Ph.D.
Department of Physics
Sciences
Physics PhD
5

Lisco, Fabiana. "High rate deposition processes for thin film CdTe solar cells." Thesis, Loughborough University, 2015. https://dspace.lboro.ac.uk/2134/17965.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This thesis describes the development of a fast rate method for the deposition of high quality CdS and CdTe thin films. The technique uses Pulsed DC Magnetron Sputtering (PDCMS). Surprisingly, the technique produces highly stable process conditions. CREST is the first laboratory worldwide to show that pulsed DC power may be used to deposit CdS and CdTe thin films. This is a very promising process technology with potential for eventual industrial deployment. The major advantage is that the process produces high deposition rates suitable for use in solar module manufacturing. These rates are over an order of magnitude faster than those obtained by RF sputtering. In common with other applications it has also been found that the energetics of the pulsed DC process produce excellent thin film properties and the power supply configuration avoids the need for complex matching circuits. Conventional deposition methodologies for CdS, Chemical Bath Deposition (CBD) and CdTe thin films, Electrodeposition (ED), have been chosen as baselines to compare film properties with Pulsed DC Magnetron Sputtering (PDCMS). One of the issues encountered with the deposition of CdS thin films (window layers) was the presence of pinholes. A Plasma cleaning process of FTO-coated glass prior to the deposition of the CdS/CdTe solar cell has been developed. It strongly modifies and activates the TCO surface, and improves the density and compactness of the deposited CdS thin film. This, in turn, improves the optical and morphological properties of the deposited CdS thin films, resulting in a higher refractive index. The pinhole removal and the increased density allows the use of a much thinner CdS layer, and this reduces absorption of blue spectrum photons and thereby increases the photocurrent and the efficiency of the thin film CdTe cell. Replacing the conventional magnetic stirrer with an ultrasonic probe in the chemical bath (sonoCBD) was found to result in CdS films with higher optical density, higher refractive index, pinhole and void-free, more compact and uniform along the surface and through the thickness of the deposited material. PDCMS at 150 kHz, 500 W, 2.5 μs, 2 s, results in a highly stable process with no plasma arcing. It allows close control of film thickness using time only. The CdS films exhibited a high level of texture in the <001> direction. The grain size was typically ~50 nm. Pinholes and voids could be avoided by reducing the working gas pressure using gas flows ii below 20 sccm. The deposition rate was measured to be 1.33 nm/s on a rotating substrate holder. The equivalent deposition rate for a static substrate is 8.66 nm/s, which is high and much faster than can be achieved using a chemical bath deposition or RF magnetron sputtering. The transmission of CdS can be improved by engineering the band gap of the CdS layer. It has been shown that by adding oxygen to the working gas pressure in an RF sputtering deposition process it is possible to deposit an oxygenated CdS (CdS:O) layer with an improved band gap. In this thesis, oxygenated CdS films for CdTe TF-PV applications have been successfully deposited by using pulsed DC magnetron sputtering. The process is highly stable using a pulse frequency of 150 kHz and a 2.5 μs pulse reverse time. No plasma arcing was detected. A range of CdS:O films were deposited by using O2 flows from 1 sccm to 10 sccm during the deposition process. The deposition rates achieved using pulsed DC magnetron sputtering with only 500 W of power to the magnetron target were in the range ~1.49 nm/s ~2.44 nm/s, depending on the oxygen flow rate used. The properties of CdS thin films deposited by pulsed DC magnetron sputtering and chemical bath deposition have been studied and compared. The pulsed DC magnetron sputtering process produced CdS thin films with the preferred hexagonal <001> oriented crystalline structure with a columnar grain growth, while sonoCBD deposited films were polycrystalline with a cubic structure and small grainy crystallites throughout the thickness of the films. Examination of the PDCMS deposited CdS films confirmed the increased grain size, increased density, and higher crystallinity compared to the sonoCBD CdS films. The deposition rate for CdS obtained using pulsed DC magnetron sputtering was 2.86 nm/s using only 500 W power on a six inch circular target compared to the much slower (0.027 nm/s) for the sonoChemical bath deposited layers. CdTe thin films were grown on CdS films prepared by sonoCBD and Pulsed DC magnetron sputtering. The results showed that the deposition technique used for the CdS layer affected the growth and properties of the CdTe film and also determined the deposition rate of CdTe, being 3 times faster on the sputtered CdS. PDCMS CdTe layers were deposited at ambient temperature, 500 W, 2.9 μs, 10 s, 150 kHz, with a thickness of approximately 2 μm on CdS/TEC10 coated glass. The layers appear iii uniform and smooth with a grain size less than 100 nm, highly compact with the morphology dominated by columnar grain growth. Stress analysis was performed on the CdTe layers deposited at room temperature using different gas flows. Magnetron sputtered thin films deposited under low gas pressure are often subject to compressive stress due to the high mobility of the atoms during the deposition process. A possible way to reduce the stress in the film is the post-deposition annealing treatment. As the lattice parameter increased; the stress in the film is relieved. Also, a changing the deposition substrate temperature had an effect on the microstructure of CdTe thin films. Increasing the deposition temperature increased the grain size, up to ~600 nm. CdTe thin films with low stress have been deposited on CdS/TEC10 coated glass by setting the deposition substrate temperature at ~200°C and using high argon flows ~ 70 sccm Ar. Finally, broadband multilayer ARCs using alternate high and low refractive index dielectric thin films have been developed to improve the light transmission into solar cell devices by reducing the reflection of the glass in the extended wavelength range utilised by thin-film CdTe devices. A four-layer multilayer stack has been designed and tested, which operates across the wavelength range used by thin-film CdTe PV devices (400 850 nm). Optical modelling predicts that the MAR coating reduces the WAR (400-850 nm) from the glass surface from 4.22% down to 1.22%. The application of the MAR coating on a thin-film CdTe solar cell increased the efficiency from 10.55% to 10.93% or by 0.38% in absolute terms. This is a useful 3.6% relative increase in efficiency. The increased light transmission leads to improvement of the short-circuit current density produced by the cell by 0.65 mA/cm2. The MAR sputtering process developed in this work is capable of scaling to an industrial level.
6

Chiloane, M. H. "Thin film deposition of metal sulfide and metal oxide layers with and without polymer intercalation by using chemical bath deposition technique." Thesis, Vaal University of Technology, 2017. http://hdl.handle.net/10352/388.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
A well adherent single layer thin film of zinc oxide (ZnO), zinc sulfide (ZnS), cadmium oxide (CdO) and cadmium sulfide (CdS) has been deposited on silica glass substrates from basic baths containing zinc acetate, cadmium acetate, ammonium hydroxide, triethanolamine, thiourea, and sodium hydroxide as precursors by chemical bath deposition (CBD), followed by multilayer deposition of metal oxide/metal sulfide and metal sulfide/metal oxide then intercalated by polyvinyl alcohol (PVA). CBD was used for the deposition of the metal sulfide/oxide thin films under acidic and basic conditions for single layer thin film by varying temperature and pH. SEM micrograph of the as-deposited ZnS, ZnO, CdO, and CdS thin film, show the film to be uniform, dense, homogeneous at lower temperatures and composed of large irregular shaped grains that are scattered at higher temperatures. These large grains are comprised of smaller spherical grains. Star shapes were observed for ZnO and ZnS thin films while spherical shapes were observed for CdO and CdS thin films. The effect of temperature on the optical properties was studied by varying the deposited films at different temperatures of 60˚C, 70˚C, and 90˚C. The increase in temperature on the single layer depositions caused the decrease in %T which was in support with the large SEM results which in higher absorbance. Films of different thickness of (114.4 nm, 107.7 nm, 100.4 nm, and 99.67 nm) respectively were obtained for single layer deposition. The XRD study of the ZnS, ZnO, CdO, and CdS monolayer films deposited does not reveal any well-defined peak, indicating a highly disordered material. The XRD of CdO thin films showed one broad peak around 2θ value 26.37° corresponding to (111) plane. The structural studies had similar behavior of CdS, ZnO, and ZnS was similar with CdO with one broad peak at 2θ ~ 26˚. To enhance the property of MO, MS thin film was formed on the interfaces of MO thin film as a passivation and a substrate layer at 60°C with a pH value of 11 for 60 minutes deposition time and vice versa for enhancing the property of MS. The structural, morphological and optical properties of CBD deposited thin films have been studied by varying the processing parameters and the MO/MS multilayer ratio of the starting v precursors to provide a better understanding of the growth conditions by studying the MO/MS and MS/MO multilayer thin film materials with further intercalation of polyvinyl alcohol (PVA). Multilayer thin films show different physical properties other than the conventional monolayer thin films. The films were deposited as the matrix of MO/MS and MS/MO of respectively at temperatures of 60˚C at alkaline medium for 60 minutes deposition time. SEM micrograph of CdO/CdS was observed to be spherical shapes which show the film to be uniform, dense, and homogeneous. Large spherical particles that appear to be increasing in size and non-homogeneous were observed in the deposition of CdS/CdO. The deposited ZnO/ZnS thin films show large conglomerate of snowflake structures and that of ZnS/ZnO thin film were polycrystalline in structure. The optical properties and band-gap energy were studied by depositing the films at 60˚C for 60 minutes.
7

Hedlund, Daniel. "Ammonia free CdS buffer layerfor Cu(In,Ga)Se2 solar cells by chemical bath deposition." Thesis, Uppsala universitet, Fasta tillståndets elektronik, 2013. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-206786.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The buffer layer in Cu(In,Ga)Se2 solar cells can improve cell performance. In this work we make CdS buffer layer by chemical bath deposition (CBD) without ammonia. CBD without ammonia were sought out since ammonia is a volatile compound. Different recipes for making CdS were tested; only one of the tested recipes actually produced something that is worth further investigating. This recipe used sodium citrate, an innocuous compound instead of ammonia. The best performance was 0.15 % off from the reference.This is almost as good as the used baseline process. However the worst almost completely killed the solar cells. Cell performance dropped by more than absolute 10 %. This demonstrates that chemical bath deposition can have profound effects on the solar cell performance. When trying to improve the best cells only detrimental effects showed up. This might show that, a part in the recipe used, NaOH has detrimental effects on solar cells. Ammonia free chemical bath deposition is possible, however so far it has not produced as good results as the reference. The difference is however very small, which makes it worth further investigating with moreand better solar cell material.
8

Stephens, Alan Thomas. "Chemical vapor deposition reactor design and process optimization for the deposition of copper thin films /." Online version of thesis, 1994. http://hdl.handle.net/1850/11578.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Lai, Jr-Yuan, and 賴致遠. "Chemical bath deposition and properties of ZnO nanowires." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/38590581291986794864.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立成功大學
化學工程學系碩博士班
94
ZnO nanowire arrays have been synthesized on transparent conducting oxide substrates using chemical bath deposition (CBD). The effects of the concentration, reaction temperature and reaction period on the nanowire growth have been investigated in this study. The aspect ratios of the ZnO nanowires are enhanced when the concentration is decreased or the temperature is increased. Structure analyses of the ZnO nanowires reveal that the nanowires possesses single crystalline wurtzite structure and grows along the c-axis direction. PL and CL spectra show that the green band emission is enhanced when the reaction concentrations of the precursors are decreased. The ZnO nanowire arrays with various lengths grown by multiple bathes are further employed to be the anode of the dye-sensitized solar cells (DSSCs). The efficiencies of the nanowires DSSCs are enhanced as the length of the nanowire is increased. Further loading of the ZnO nanoparticles into the nanowires results in the efficiency enhancement due to the increase of the surface area of the anode for dye absorption.
10

Ho, Shao-Hung, and 何紹鴻. "Characteristics of ZnO prepared by chemical bath deposition." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/u2tt5f.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立東華大學
電機工程學系
104
ZnO films were prepared by chemical bath deposition process from Ammonia. In this paper we discussed effect in NH3, zinc acetic and deposition temperature for ZnO films.The surface morphology, crystal structure, optical properties was characterized by Field Emission Scanning Electron Microcopy, X-Ray Diffraction, to investigated ZnO Films.In this experimental, NH3 and zinc acetic and deposition temperature influence the ZnO morphology and different kinds of XRD and are achieved
11

Li, Tsung-Cheng, and 李宗澂. "Investigation of Bath Degradation during Copper Electrochemical Deposition." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/53036196701944719421.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立交通大學
材料科學與工程系
91
The degradation effects on (PEG, SPS)-containing baths are investigated in this study. The baths are analyzed by three methods: in-situ measured v-t curves of galvanostatic plating, AC-impedance scan, and i-E curve scan. The gap-filling capability for the baths was examined by cross-sectional SEM images. By modifying the mechanisms that were presented in previous literatures, some possible models for the degradation are proposed. In the PEG-containing bath, an evident deterioration of the gap-filling yield and of the surface roughness of the deposited film are observed after ECD aging. Two mechanisms are proposed to explain this degradation: 1) crack of long-chain PEGs, and 2) complexing between PEGs and Cu ions. When some long-chain PEGs crack into shot-chain ones, the remained long-chain PEGs still dominate the value of cell voltage, while slight fluctuation in voltages is attributed to the formation of complexes that results from the continuously cleaved short-chain PEGs. In the (PEG, SPS)-containing bath, a modified mechanism of the behaviors of PEG and SPS are proposed and called “Slow adsorption / desorption mechanism.” As the electroplating proceeds, the variation among v-t curves is divided into two trends: the cell voltages are increasing following by decreasing as more samples plated. Besides, the yield of gap-filling is slightly affected by the variation of the bath, and the superfilling could be maintained even after aging of bath. Three possible mechanisms for the trend of degradation are proposed and will be further discussed in this thesis.
12

hsiang, Chien-Chien, and 簡千翔. "Properties of ZnSe films prepared by Chemical Bath Deposition." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/81018947288891692489.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立東華大學
電機工程學系
101
The ZnSe films were deposited by the chemical bath deposition for CIGS solar cells, we used zinc sulfate (ZnSO4), selenourea (SeC(NH2)2), sodium sulfite (Na2SO3), hydrazine (N2H4), and ammonia (NH3) to deposite the ZnSe thin films, The effects of concentrations of ammonia and selenourea on the properties of ZnSe films were studied. The surface morphology, crystalline structures, and binding energies of ZnSe films were characterized by field emission scanning electron microscope (FESEM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). The optical properties of ZnSe films were measured by UV/visible spectroscopy.
13

Chen, Yong-Min, and 陳詠民. "Chemical Bath Deposition of Iridium Oxide for Biocompatible Electrodes." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/43291409911864174554.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立交通大學
材料科學與工程學系所
103
In this study, a 1μm amorphous IrO2 film is successfully fabricated by a multi-step chemical bath deposition and the IrO2 film is expected to be used as a biocompatible electrode. We modify the recipe that developed earlier in our laboratory and explore the deposition efficiency at different pH values and temperatures. We identify that the optimized condition is for bath with pH 12 and 25 °C. When the deposited layer was increased, the surface roughness was also increased, but the contact angle of water was decreased. Also, we discuss the effect of the NaNO2 and pre-coordination on the deposition efficiency. In addition, we identify the Ir oxidation state and the existence of impurities by XPS, and then use the XRD to identify the phase transition of the 1μm IrO2 film from amorphous state to crystalline one by thermal treatment. Lastly, we carry out the electrochemical measurements to determine the limit potentials and charge storage capacity of the IrO2 film.
14

Lin, Jung-Chen, and 林榮晟. "Characterizations of CuCl films fabricated by chemical bath deposition." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/89138998080082284248.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
中原大學
電子工程研究所
105
Copper (I) chloride (CuCl) is a kind of copper chloride, which is a broad band gap (3.399eV) I-VII compound semiconductor. CuCl has a prominent exciton binding energy of 190 meV, which makes CuCl considerable potential for the development of UV / Blue light-emitting devices. In this paper, CuCl films were deposited on copper substrate by chemical bath deposition using copper chloride and sodium chloride solution, and compared with the CuCl films deposited using only the copper chloride solution. The results show that the characteristics of CuCl films deposited by chemical bath deposition are very good. The characteristics of the CuCl film deposited by adding sodium choride solution are better than those of the film deposited without sodium chloride solution, and the proportion of Cl atoms in CuCl films can be improved.
15

Hsiang, Chen-chih, and 項承智. "Epitaxial growth of ZnO by microwave-assisted chemical bath deposition." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/w9pmt8.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立交通大學
材料科學與工程學系所
106
Microwave-assisted chemical bath deposition (MWCBD) is a newly developed method for rapid synthesis of single-crystalline ZnO nanorods. In this study, MWCBD was used to synthesize ZnO with high-quality epitaxial ZnO film, using hexahydrate zinc nitrate (Zn(NO3)2∙6H2O) as the Zn2+ source, hexamethylenetetramine (HMT, C6H12N4) as pH buffer, GaN/sapphire as the substrate with small lattice mismatch with ZnO, at temperature less than 100°C. Also, the effect of sodium citrate (Na3C6H5O7) as the capping agent on lateral growth of ZnO rod for continuous film formation has been explored based on the evolution of the measured aspect ratio of height-to-width in the microwave environment. Additionally, the effects of temperature, concentration, and time on pH change of aqueous solutions with precipitation of ZnO powders and during microwave heating were evaluated. When the heating temperature is increased, the color of the aqueous solution as seen from the visual appearance becomes more pure white as more precipitated ZnO powders were produced and the pH value decreases from 6.8 to about 5.5. Increasing the concentration of zinc nitrate precursor results in the higher quantity of ZnO powders and reduction of the pH value of the aqueous solution. In the study of ZnO epitaxy on GaN, scanning electron microscopy observations in top view and cross-section show that thin film of epitaxial ZnO in thickness of about 1 μm can be effectively grown on GaN/sapphire with MWCBD by adding sodium citrate to the solution to enhance the lateral growth of ZnO rods with coalescence. It is found that to reach an aspect ratio of height to width of 0.83, the concentration of sodium citrate is required to increase to 0.04 mM which can still have an average growth rate of ~ 1 m/h. The film quality as characterized by x-ray diffraction in –scan shows a full width at half maximum of the (0004) rocking curve in 860 arcsec, which is twice as high as substrate of GaN, whereas it is 1288 arcsec for (303 ̅2) FWHM slightly increased from 1078 arcsec for GaN, suggesting that ZnO film quality is mainly affected by screw dislocations formed in rod nucleation.
16

Yeh, Che-Yuan, and 葉哲原. "Preparation and characterization of gallium oxidenanocrystals using chemical bath deposition." Thesis, 2019. http://ndltd.ncl.edu.tw/cgi-bin/gs32/gsweb.cgi/login?o=dnclcdr&s=id=%22107NCHU5159054%22.&searchmode=basic.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中興大學
材料科學與工程學系所
107
In this thesis, gallium oxide (Ga2O3) nanocrystals as a photocatalytic material were prepared on glass substrates using a combination of chemical bath deposition (CBD) and post annealing processes. Firstly, gallium nitrate reacts with HMT or ammonium hydroxide which can provide a hydroxide ion species to produce gallium oxide hydroxide (GaOOH) in growth solution at 95℃. Finally, the annealing process was employed using 400, 500 and 600℃ for 3h respectively to convert GaOOH converted into stable Ga2O3. According to the X-ray diffraction analysis results, the crystals deposited on the glass substrate after CBD was GaOOH, which was converted into Ga2O3 nanocrystal after over-500℃ annealing. Both the GaOOH nanocrystals and Ga2O3 nanocrystals formed at different annealing temperatures were rod-like crystal structure observed by the scanning electron microscopy. Moreover, the effect of different annealing temperatures on the functional groups of the samples is investigated and their vibrational bands were identified by Fourier transform infrared spectroscopy. The maximum absorbance of MB was obtained at 664 nm wavelength through a UV-vis spectrophotometer, which was used to determine the concentration change during the degradation process. In order to examine the photocatalytic activity of Ga2O3 nanorods, the photodegradation of methylene blue solution was processed by placing Ga2O3 samples obtained at different annealing temperatures into 5 ppm of MB and being irradiated with a UVC light source for 1 to 5h, respectively. As a result, the sample deposited in a mixture of 0.075 M gallium nitrate and 0.5 M HMT and post-annealed at 500 ℃ for 3h showed the optimum crystalline quality, exhibited high photodegradation efficiencies of 90 % for 5 h UVC irradiation .
17

Weien, Lin, and 林維恩. "Growth Characteristics of ZnS Buffer Layers by Chemical Bath Deposition." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/17011308296021174610.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
大葉大學
電機工程學系
101
In this study, chemical bath deposition (CBD) is employed to growth ZnS buffer layers. Traditional CBD process, as a single-stage process operated under thermodynamic equilibrium, the whole process is time consuming. Furthermore, there is inevitably some ZnS particles resided on the substrate owing to the homogeneous nucleation took place in the solution which will deteriorate the quality of the as-deposited ZnS then film. To alleviate this disadvantage, we apply a novel two-stage CBD process which divide the deposition into two regimes. At the first pot, the glass substrate was dipped in for 1 hour during which the adsorption of complex ions onto the substrate surface took place. After that, the substrate was put in a new bath for the surface reaction of zinc- and sulfur-containing complex ions to proceed. It shows that by applying a two-stage CBD process, a ZnS film with more compact and smooth surface structures could be derived as observed by FE-SEM and AFM. The optical transmittance is declined, as measured by UV-vis spectroscopy, however, the optical band-gap of the as deposited ZnS films were increased from ~ 3.4eV to ~ 3.9eV. XPS analysis confirms that the as-deposited CBD-ZnS films containing minor ZnO phase. The thiourea favors the formation of ZnO phase in this case, as the concentration of thiourea increased, the Zn2p3/2 peak of ZnS (1021.7 eV) blue shifts to 1022.4eV, which is the characteristic Zn2p3/2 peak of ZnO.
18

Chuang, Chia-hsin, and 莊佳欣. "Studies on ZnS Thin Films Deposited by Chemical Bath Deposition." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/14166810863485030894.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立高雄大學
電機工程學系碩士班
98
In this work, we prepared the zinc sulfide (ZnS) thin films by Chemical Bath Deposition (CBD) deposited on p-type Si substrates. The ZnS film was characterized. The different solution heating rate by changing the inner and outside bath temperatures were performed. The Metal-Oxide-Semiconductor (MOS) structure was achieved by the following thermal evaporation process with suitable metal mask. Their surface morphology, C-V curve and I-V characteristics were systematically investigated. The lowest effective charge density around 2.85E-12(1/cm2) can be achieved in suitable deposition temperature 70℃. The lowest minimum dark current around 1.29E-10(A) for voltage -2V can be observed also.
19

CHIU, CHUN-CHANG, and 邱俊彰. "Deposition of CH3NH3PbBr3 and CH3NH3PbI3 Perovskite Nanocrystalline Films by Chemical Bath Deposition for Light-Emitting Diode." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/83xr9m.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立臺南大學
綠色能源科技學系碩士班
107
The research on the photoelectric properties of perovskite materials are rapidly progressing, but perovskite materials are unstable in the atmosphere, so most of the research is done in an inert environment. In this study, colloidal perovskite nanoparticles were synthesized in the atmosphere, and self-assemble CH3NH3PbBr3 and CH3NH3PbI3 perovskite nanocrystalline films by chemical bath deposition, the whole process was completed in non-polar solvents. Our proposed synthesis method different from the high temperature mechanism of the thermal injection method. Finally, the LED elements of CH3NH3PbBr3 and CH3NH3PbI3 with glass/ITO/PEDOT:PSS/CH3NH3PbBr3 or CH3NH3PbI3 /TPBI /LiF /Al structure have a maximum brightness of about 200 and 16.8 cd/m2; the current efficiency is 0.205 cd/A, 0.004 cd/A; electroluminescence wavelength is 520, 688 nm, respectively.
20

Lin, Chih-Hung, and 林志鴻. "Non-Sulfurized CZTS(Cu2ZnSnS4) Thin Film Developed by Chemical Bath Deposition." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/27863128441019044567.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立雲林科技大學
電子與光電工程研究所碩士班
101
Some preliminary researches of non-sulfurization process CZTS thin films deposited by CBD method have been reached. The scanning electron microscope (SEM) indicated the flatness and uniformity of the film.The Raman peaks show at 288 cm-1and 338 cm-1 and the XRD show the very good crystalline phase at directions (112), (220) and (312). The near ideal rate of Cu: In: Sn: S (1.9:0.9:1:3.5) has been analyzed (ideal rate=1.8:1.2:1:4). All the results indicated that the CZTS thin films made by CBD method is the Kesterite structure that is very useful for the CZTS compound solar cells application.
21

Lin, Pin-Yu, and 林品妤. "Characterization of Zinc Oxide Thin Film Prepared by Chemical Bath Deposition." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/30488012591601569685.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立東華大學
材料科學與工程學系
99
In this thesis, ZnO films are deposited on Corning glasses by chemical bath deposition(CBD). The chemical bath deposition is a conventional and low cost technique. First, the ZnO films are grown with various growth parameters. Then the films are analyzed by scanning electron microscope (SEM), energy dispersive spectrometer (EDS), x-ray diffraction (XRD), UV/VIS spectrophotometer. A Hall measurement is performed on the sample to determine the sheet resistance, carrier mobility and carrier concentration. The best growth conditions are achieved after several experiments. These experimental results are analyzed and discussed.
22

Lin, Kun-che, and 林昆徹. "Preparation of copper indium disulfide thin films by chemical bath deposition." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/39460702377537914555.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中正大學
化學工程所
95
Chemical bath deposition was used to prepare copper indium disulfide thin films and parameters were varied to study the thin film growth process. We used (3-Aminopropyl)triethoxysilane (APS) self-assembled monolayers to modify the glass substrate in this study. In the literature, CuInS2 thin films were prepared in the alkaline solution, in general but not always. On the contrary, we chose to grow CuInS2 thin films in the acidic solution. The CuInS2 thin films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), and Raman spectroscopy. The thin film composition was close to CuInS2 stoichiometric ratios. Therefore, there is no need for excess copper cleaning by toxic KCN solutions. In the reaction process, the color of the reaction solution changed from initial deep yellow、brown、deep green、silver black to finally clear. With different preparative parameters: the thin film with excess copper is black, thin film with excess indium is yellowish-brown, and thin film with Cu to In ratio close to unity is dark red. In the beginning of our research, only CuInS2 particles precipitated on the substrates. We increased the concentration of sulfide in the precursor solution, but a cracked CuInS2 thin film was formed. We further adjusted the concentration of copper, indium, and sulfur in the solution to make the crack smaller. Finally we controlled the reaction temperature and the optimal parameter was obtained under our experimental conditions. Furthermore, the crystallinity of the films increased with an increase of the annealing temperature. But the final induim concentration decreased with annealing temperature higer than 300 ℃. Lastly, this set of parameter was employed to deposit CuInS2 films on glass, APS-modified glass, and indium tin oxide substrates.
23

Kuo, Chun-Lin, and 郭俊麟. "The Synthesis of Cu-Doped Photocatalyst Materials by Chemical Bath Deposition." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/60893493061498594754.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中央大學
機械工程研究所
96
The visible-active Photocatalyst thin film of AgInS was deposition on ITO glass and doping Cu by CuCl2 solution by chemical bath deposition (CBD). The research conditions was change the ph value and reaction time and anneal time of the reaction solution and elevation photo-active of the photocatalyst. The material structure analysis by XRD and element analysis by scanning electron microscope (SEM) ;The photocurrent measurement by potentiostat and discussion the element compose by photocurrent effect of photocatalyst.
24

Huang, Teng-Hung, and 黃騰弘. "Characteristics of Mg-doped Cu2O films prepared by chemical bath deposition." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/04667764979674436668.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
中原大學
電子工程研究所
103
In this study, Magnesium doped Cu2O(Cu2O:Mg) films was prepared by Chemical bath deposition. The Magnesium doped cuprous oxide (Cu2O: Mg) films are prepared by using copper sulfate (CuSO4) solution with the addition of magnesium nitrate (Mg(NO3)2) as the source of magnesium. The films are annealed in nitrogen at different temperature. X-ray diffraction patterns show that the Cu2O:Mg films have a sphalerite structure with a dominant plane orientation of Cu2O(111). SEM measurement results show that grain size of Cu2O:Mg films becomes larger and uniform, after annealing. The Cu2O:Mg films surface is relatively smooth. In Electrical characteristics, we plate gold (Au) about 1500Å on Cu2O:Mg films as electrode to form Au/Cu2O:Mg/Cu Schottky diode elements. The Au/ Cu2O:Mg/Cu Schottky diode is characterized by I-V and C-V measurement. The C-V measurement results show that carrier concentration of Cu2O:Mg films with different annealing temperature about 2.65×1017~1.54×1016. PL and hot probe measurement results are displayed Mg-doped copper oxide films after 500℃ annealing, which conductive have a tendency into P-type.
25

Cheng, Hsiang-Wen, and 鄭翔文. "Photoelectrical Characteristics of ZnO Nanowire Devices Prepared by Chemical Bath Deposition." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/68310506651975043033.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立臺南大學
材料科學系碩士班
103
In the study, zinc oxide nanowire array sensor was produced by chemical bath deposition (CBD). In the first part, an UV sensor of zinc oxide wire of 0.5µm~3µm wide and 10 µm long is built with CBD and electron beam lithography technology. The UV response and the I-V characteristics of the sensors are measured and analyzed. In the second part, a graphene resonator is built on a 300 nm gap, at the middle of the zinc oxide wire, covered by a piece of graphene. The result shows measurable resonant frequencies around GHz depending on different graphene configurations. This frequency will be very sensitive to the environment change and provide us a useful tool for sensor application.
26

SIAO, MING-YUAN, and 蕭名原. "Optoelectronic Properties of In2S3-graphene Composite Material by Chemical Bath Deposition." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/2n4z87.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中正大學
光機電整合工程研究所
107
Graphene has a broad absorption wavelength and a very high carrier mobility, and is suitable for use as a photodetector, but the response to light is weak due to the high transmittance and the recombination speed of the carrier generated after illumination. The In2S3 is grown on the surface via chemical bath deposition method to enhance the photoreaction of graphene. We stack different layers of graphene and change different growth times and measure their photoresponsivity. From the experimental results, we found that the In2S3/2 L graphene component deposited for 90 minutes has the best photoresponsivity. Therefore, we used a 405 nm laser as the light source on this component to study the photoelectric characteristics at different voltages and light intensity, and used a Xe lamp to measure the full-spectrum photoresponsivity of the In2S3/2 L graphene component. We found when the wavelength of light is between 400 and 550 nm, the optical responsivity exceeds 200 A / W. The optimal photoresponse values are measured under the illumination of a 500 nm laser at room temperature : spectral responsivity of 413.55 A / W, a detectivity of 1.51 × 1012 Jones. It was confirmed that the In2S3/2 L graphene can improve the light absorption and photoresponsivity of graphene.
27

Yang, Chang-Siao, and 楊長虓. "A study of zinc sulfide thin film by chemical bath deposition." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/13022301800010592483.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立雲林科技大學
材料科技研究所
99
In this study, the CBD ZnS thin films were prepared on substrates of soda lime glass in chemical bath.The effect of deposition parameters including zinc sulfate, thiourea, tri-sodium, ammoina water, bath temperature, pH, and deposition time. The CBD ZnS thin films were charateriged by a field emission scanning electron microscape(FESEM) for the surface and cross section morphologies and thickness,an energy dispersive spectrometer equipped in FESEM for the atomic% of Zn and S, an ultraviolet-visible spectrometer(300-800nm) for the transmittance and energy gap, and an atomic force microscope (AFM) for the surface roughness. The results showed that the CBD ZnS thin films have a transmittance for UV rays (300-800nm) from 70.8 to 87.8%. and an energy gap from 3.881 to 3.980 eV.
28

Ting-YuDai and 戴廷宇. "Chemical Bath Deposition of CdS Buffer Layer on CIS Solar Cell." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/46117813156447819735.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
29

Shiu, Yan-Shiang, and 徐彥翔. "Production of ZnO Photoelectrode Thin Film by Chemical Bath Deposition Method." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/23562706537773947086.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中央大學
能源工程研究所
98
In this study, zinc oxide (ZnO) thin film are formed under different working parameters, and their absorption and photocurrent effect are analyzed. ZnO thin films are deposited on ITO conductive glass substrate by chemical bath deposition (CBD), which is one of the most promising technique owing to its large-scale, cost-effective, environmental-benign and low-temperature advantages. By photoelectrochemical water-splitting, ZnO thin film can be used to produce H2. Firstly, different concentrations of ammonia solution are adapted to form zinc oxide thin film, and the resulting crystal structures are compared. It shows that ZnO particles have short and long hexagonal cylinder shapes at [NH3]=1 and1.8. The short hexagonal cylinder shapes was further transformed to a flower-like structure as ammonia increases to [NH3]=1.7. Experiments show that the photocurrent density of ZnO photoelectrode is nearly 0.17 mA/cm2 at 0 bias. The best forming properties of ZnO film occurs at [NH3]=10. By doping Ni into ZnO the effects on energy gap, absorption and photocurrent density of ZnO thin film is investigated. Photocurrent density of ZnO film is nearly 0.2 mA/cm2 at 0 bias. Therefore, producing ZnO photoelectrode by chemical bath deposition is proved to be a low-cost and simple method. Keyword: Zinc Oxide; crystal structure; photoelectrode; photoelectrochemical; water-splitting
30

Voss, Curtis L. "Fabrication of a cadmium sulfide thin film transistor using chemical bath deposition." Thesis, 2002. http://hdl.handle.net/1957/32061.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
31

Lin, Yi-Ching, and 林易慶. "ZnO nanowire based dye-sensitized solar cells manufactured by chemical bath deposition." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/11827628250542857519.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立交通大學
光電工程系所
96
Branched ZnO nanowires have been fabricated on fluorine doped tin oxide substrates using aqueous solution method for dye sensitized solar cells. The one-dimensional branched nanostructures can afford a direct conduction pathway instead of interparticle hops while using nanoparticles. Furthermore, the short-circuit current density and the energy conversion efficiency of the branched ZnO nanowire DSSC are 2.37 mA/cm2 and 1.51 %, respectively, which is twice higher than the bare ZnO nanowire one. The improvement can be explained association with the enlargement of internal surface area within the photoelectrodes and achieving higher dye adsorption that significantly enhances the performance of the DSSC.
32

Lin, Yu-Ting, and 林郁廷. "Fabrication of cuprous chloride films on copper substrate by chemical bath deposition." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/22011828154500789312.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
中原大學
電子工程研究所
103
For the first time, polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at 90 C. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD.   Whereas, the CuCl films were prepared with annealing for improving the quality of the crystallization. It is worth mentioning that the concentration of Cl- in the sample annealed at 150℃ for a time duration of 1hr was higher than the as-grown one. It might be interpreted that the quality of the CuCl films performed with annealing is superior to those without annealing. In addition, I also have reported the Photoluminescence mechanisms of the CuCl films in detail. The PL spectra have been reported in detail that the influence from the other emissions increased the free exciton emission when the temperature increased. The transition is quite different from the results reported in previous literature.
33

Tu, Hui-Min, and 塗惠敏. "Lateral growth of nonpolar ZnO on patterned substrate by chemical bath deposition." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/79607376840560335980.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立交通大學
工學院半導體材料與製程設備學程
103
Zinc oxide (ZnO) is an important wurtzite semiconductor for optoelectronic applications. To obtain high efficiency performance, nonpolar ZnO is required. In this thesis, chemical bath deposition (CBD) is applied to growth of ZnO on patterned substrate at 85C. Two kinds of patterned substrates with trenches/stripes structures fabricated by lithography and etching processes were used: one was SiO2/poly-ZnO/Si consisted of a 300~400 nm polycrystalline ZnO seeding layer covered with SiO2, and the other was SiO2/GaN/sapphire in which a-plane GaN was grown on r-plane sapphire. The stripe patterns allow the lateral growth of ZnO nanorods and microrods on the sidewalls of ZnO and GaN layers in c-axis. CBD is a simple and effective way for low temperature synthesis of ZnO with nanorod and microrod morphologies. The ZnO rods were grown using hexahydrate zinc nitrate as the zinc ion source, hexamethylenetetramine (HMT, C6H12N4) as pH buffer and sodium citrate (Na3C6H5O7) as capping agent to vary the density, growth rate, and aspect ratio of the Zn rods. The deposited ZnO rods were characterized with scanning electron microscopy (SEM), x-ray diffraction (XRD), and transmission electron microscopy (TEM) for the morphology, orientation, and microstructure with their distribution. On the SiO2/poly-ZnO/Si patterned substrate, it is observed that each ZnO nanorods grow laterally along c-axis from the sidewalls of the trenches and increases their size with the growth time. However, the rods exhibit without specific arrangements among them. After adding sodium citrate into the solution in the following CBD, ZnO nanorods significantly increase the lateral size into micrometers, which allows rods contact with each other and coalescence. As for the SiO2/GaN/ Al2O3 patterned substrate, GaN serves as an excellent seeding layer for ZnO growth as GaN and ZnO have the same wurtzite structure. Also with two-step CBD process, most of a-oriented ZnO nanorods in good alignments were laterally grown from inclined side walls of the trenches with m-facets and roof-like morphology. Further growth resulted in the coalescence of the ZnO microrods, and finally a continuous thin film formed by lateral overgrowth on the pattern. Also the microstructure of the ZnO rods and their relationships with GaN were analyzed with TEM.
34

LIU, CHIA-CHUAN, and 劉家權. "Optoelectronic Characteristics of Tin Sulfide-Graphene Optoelectronic Device by Chemical Bath Deposition." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/ay549e.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中正大學
光機電整合工程研究所
107
Graphene has a very high carrier mobility and excellent conductivity. It’s very suitable for using as a photodetector. But its transmittance is too high and has poor absorption when it illuminated. The carrier is combined fast after illuminating and the reaction to light is extremely weak. Therefore, a semiconductor material is used to grow on graphene to increase its response to light. For semiconductor materials, tin sulfide will be used. Because tin sulfide has the advantages of stable nature, non-toxicity, low cost and rich content of crust, it has a very high absorption coefficient ( > 1E5) and a suitable energy gap of 1.3 eV. We use chemical bath deposition (CBD) method to deposit tin sulfide on graphene. Due to the high temperature and low pH value of the process environment, the graphene has poor properties. Try to stack two layers of graphene for experiment and Raman spectroscopy and Hall effect measured resistance, carrier mobility and carrier concentration of a layer of graphene and two layers of graphene were measured. In the experiment, it was found that the deposition of one hour, the characteristics of graphene is the best and the characteristics of the element are also excellent. The 405 nm laser, the light intensity is 60 mW, and the switching time is 10 seconds. The rise time, component defect density, responsivity and specific detectivity were 6436 ms, 0.41, 0.046 AW-1 and 5.87E7 Jones.
35

LU, JI-ZHEN, and 呂季蓁. "Optoelectronic Properties of In2S3-Graphene-TiO2 Composite Material by Chemical Bath Deposition." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/cu7t2g.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中正大學
光機電整合工程研究所
107
Graphene has a relatively high carrier mobility and a broad absorption wavelength, and is suitable for use as a photodetector. However, due to the high transmittance of graphene and the fast recombination speed of the carrier, the light response is weak. In this study, a sol-gel method (Sol-Gel) was used to formulate a TiO2 gel, and uniformly spin coated on a glass substrate, then high temperature sintering to form a TiO2 film, and then transfer the graphene grown by chemical vapor deposition. Increasing the light absorption of graphene in the ultraviolet band by TiO2 film and enhancing its responsivity. However, due to the energy gap of the material, the response of TiO2 in the visible light range is weak. Therefore, the In2S3 film is deposited on the graphene by chemical bath deposition method, thereby enhancing the response of TiO2 to visible light, and exploring the optimization of the two materials. Parameters for making In2S3/graphene/TiO2 composite components. Finally, using the Xe lamp to optimize the parameters of the In2S3/graphene/TiO2 composite components, the experimental results show that the composites have response values in the 325 nm ~ 575 nm band, which proves that the growth of TiO2 and In2S3 materials on graphene is indeed Successfully improved the disadvantage of graphene's low response value, and deposition of In2S3 also improved the problem of TiO2 having no photo-response after visible light.
36

Xie, Zong-zhun, and 謝宗准. "The study of titanium dioxide photoelectrode thin film by chemical bath deposition." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/03215591877796892919.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中央大學
能源工程研究所
99
ABSTRACT In this study, titanium dioxide thin films are deposited on ITO conductive glass substrates with chemical bath deposition (CBD). By changing concentration of boric acid, temperature of bath, number of depositon layers, temperature of annealing and different atmospheres in annealing, the distructure of crystal, surface morphology, and optical and pholoelectrochemical properties of thin films are investigated. The principle of photoelectrochemical is applied in aqueous solution by absorbing solar energy and to convert water into hydrogen, which can then be used in electric generating systems. It is found that hydroxide ions would be exceeded if concentration of boric acid increases. It would affect the growth of titanium dioxide thin film and result in corrosion and poor forming property of thin films, and the corresponding photocurrent would be thus reduced. The crystaling strength is increased as the number of deposition layers increases. Since the thin film structure is formed, the deposition rate would be decreased as the number of deposition layers achieves in some extent and the surface would be rougher. If the annealing is operated in the vacuum atmosphere, the thin film is unable to form titanium dioxide completely because of the lack of oxygen. Moreover, the influences of annealing and bath temperature are also discussed. The results showed that photocurrent has a better value at the circumstance as following: 0.05M of boric acid concentration, 90℃ of bath temperature, 2 of the number of deposition layers, 500℃ of annealing temperature and air of annealing atmosphere. The photocurrent is 126µA/cm^2, i.e. 0.126 mA/cm^2, without applying bias voltage.
37

Lin, Pin-Wei, and 林品緯. "Chemical Bath Deposition of ZnS and Its Application to One-dimensional Sensors." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/28597054796053837690.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中興大學
材料科學與工程學系所
105
A novel fabrication technique for single crystalline ZnO(002) nanorods has been proposed in this research. First, a chemical-bath deposited (CBD)ZnS thin film was prepared on sapphire substrate. Then, the ZnO was grown by pulsed laser deposition (PLD) on the CBD-ZnS at a substrate temperature of 900 ?C. When the substrate temperature was increased to 900 ?C, the ZnS film can be decomposed to Zn nanoparticles and sulfur fumes. The sulfur fumes were exhausted; meanwhile, Zn nanoparticles were formed on sapphire. The formation of Zn nanoparticles with the hexagonal Zn(002) phase is helpful to grow the single crystalline ZnO(002) nanorods. In comparison to conventional poly-crystalline ZnO nanorods deposited by hydrothermal and vapor–liquid–solid methods, the single crystalline ZnO nanorods presented in this study possess a higher crystal quality. Thus, the metal-semiconductor-metal photodetectors (PDs) fabricated with the single crystalline ZnO nanorods have an excellent optoelectronic performance. The effects of Zn/S ratio and film’s thickness of CBD-ZnS on the ZnO morphology and device performance were also investigated. First, the thickness of ZnS films was fixed at 60 nm, and the Zn/S ratios of 1/50, 1/100, and 1/150 were selected. It can be found that the PLD-ZnO grown the ZnS layer with the Zn/S ratio of 1/100 possessed a more obvious nanostructure. Under a bias voltage of 1 V, the dark currents of the ZnO PDs prepared on the ZnS layers with Zn/S ratios of 1/50, 1/100, and 1/150 were 1.57×10-7, 1.26×10-7, and 2.57×10-8 A, while their photocurrents were measured to be 1.06×10-5, 2.41×10-5, and 8.06×10-7 A, respectively. The signal-to-noise ratios of these three PDs were 67.5, 191.3, and 31.4, respectively. Then, the Zn/S ratio of ZnS film was kept at 1/100, and three thicknesses of 60, 90, and 120 nm were chosen. The dark currents (@1 V) of the ZnO PDs grown on the 60-, 90-, and 120-nm-thick ZnS layers were 1.26 × 10-7, 2.18 × 10-7, and 7.54 × 10-8 A, while their photocurrents (@1 V) were 2.41 × 10-5, 6.25 × 10-5, and 3.75 × 10-6 A, respectively. The signal-to-noise ratios of these three PDs were 191.3, 286.7, and 49.7, respectively. Based on the results, when the Zn/S ratio and thickness of the ZnS film were 1/100 and 90 nm, respectively, the ZnO PD fabricated on the CBD-ZnS possessed the optimum optoelectronic performance.
38

Chen, Chia Ta, and 陳家達. "Investigation of Chemical Bath Deposition of CdO,SnO2 and Cd2SnO4 Thin Films." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/53210744986831139761.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
長庚大學
光電工程研究所
98
In Chemical Bath Deposition (CBD), deposition of thin films takes place from aqueous solutions at low temperature, non-vacuum environment and inexpensive large-area deposition condition with the help of different complex agents. In this thesis, we try to use CBD to grow CdO and SnO2 thin films in the beginning with the final goal of obtaining Cd2SnO4 by annealing of those two composite layers. Such Cd2SnO4 film could be an alternative to indium tin oxide (ITO) layers that are commonly used as TCO layers or Window Layer for solar cells. We have succeeded in growing CBD-CdO thin films using three different complex agents. Undoped CBD-CdO films with a resistivity as low as 1.01 x 10-2 -cm and a carrier density as high as 2.59 x 1020 cm-3 have been obtained. SnO2 films also have been successfully grown using CBD. Finally, fabrication of Cd2SnO4 thin films using CBD is investigated too. According to RBS data, the Cd2SnO4 annealed film is highly stoichiometric with a Sn:O ratio of about (1.00: 2.00). On the other hand Cd2SnO4 layer with a wide bandgap of ~ 2.7 eV and a carrier density as high as 3.74 x 1019 cm-3 and a resistivity ~ 4.78 x 10-1 Ω-cm is achieved.
39

Kao-KanHsu and 徐國淦. "Photoelectrochemical Hydrogen Generation with CdS/TiO2 Photoanodes Prepared by Chemical Bath Deposition." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/09015864692811826658.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立成功大學
化學工程學系碩博士班
98
In this study, the CdS/TiO2 photoanodes were prepared by chemical bath deposition (CBD) technique. The photoactivity of CdS/TiO2 photoanodes and hydrogen generation were studied via photoelectrochemical (PEC) process. Experimentally, the self-assembled TiO2 nanotube arrays were formed by electrochemical anodization process, then the TiO2 nanotubes arrays were sequentially immersed in Cd(NO3)2 and Na2S solution several times to fabricate CdS/TiO2 photoanode. The experimental conditions including calcination atmosphere and temperature, immersion time, number of immersion, solvent, and UV illumination were investigated. Besides, the properties of CdS/TiO2 photoanodes such as surface morphology, crystalline structure and band gap were discussed. The photoactivities of CdS/TiO2 photoanodes and hydrogen generation via photoelectrochemical splitting of water were also studied. From the experimental results, the photoactivity of photoanode calcined in air atmosphere was better than that in nitrogen atmosphere. The CdS grains calcined at 300 oC was zinc blende structure with small quantity of wurtzite. From the PEC results, it revealed that the CdS/TiO2 sample with the highest photoactivity was obtained at immersing time of 20min and repeating 13 cycles. The saturation current density was 6.163 mA/cm2 and the maximum photoelectrochemical conversion was 4.45 % in the PEC system under Xenon lamp (I0 = 100 mW/cm2) illumination. The hydrogen generation rate for the sample was 1.49 ml/cm2-hr measured with a double-tank PEC reactor. The solvent effect in CBD procedure was investigated in advance. It was found that the photoactivities of CdS(W)/TiO2 prepared starting from the aqueous Cd(NO3)2 solution were higher than those of prepared in ethanol(95%) solution. From the result of characterization, as compared with CdS(A)/TiO2, the CdS(W)/TiO2 showed bigger particle size. However, the CdS amount in CdS(W)/TiO2 sample was relatively large, and the band gap was smaller. On the other hand, the photoactivity of CdS(UV)/TiO2 which prepared under UV (λmax=253.7 nm) illumination somewhat reduced. In the presence of UV light, it resulted in increase of the Cd2+ adsorption on the TiO2 surface. However, it also accompanied with aggregation of CdS.
40

Tsai, Chia-lin, and 蔡佳霖. "The study of quaternary compound photoelectrode thin film by chemical bath deposition." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/03994436622604027085.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中央大學
能源工程研究所
98
Chemical bath deposition (CBD) is applied to deposit Ag-In-S-Se quaternary compound photoelectrode thin film on indium tin oxide coated glass (ITO), which can then be used as the photoelectrode in photoelectrochemical production of hydrogen. The advantages of chemical bath deposition are simple, inexpensive and large area deposition. Besides, Ag-In-S-Se quaternary compound can absorb ultraviolet and visible light so that it has potential to develope. In our experiment, we investigate the crystal structure, morphology, optic property, and PEC performance as precursor ratio, bath temperature, ph value, number of thin film, stirring rate, thermal treatment temperature and atomic percentage of selenium are changed. The results of XRD and EDS show that AgIn5S8 is obtained when [Ag+]/[In3+] =1/5 and transformed to AgIn5S8-xSex quaternary compound by doping selenium with the direct band gap decreasing from 1.79 eV to the range of 1.75~1.786 eV. Both are identified as n-type semiconductor according to Mott-Schottky measurement with decreasing flat band potential from -0.78 V to -0.93 V(vs. Ag/AgCl) and increasing carrier density from 2.58×10^10 cm-3 to 2.83×10^12 cm-3. In PEC measurement, we use 0.25M K2SO3 and 0.35M Na2S as sacrificial reagent and 100 mW/cm2(AM 1.5G) simulation sunlight as light source. The photocurrent density of AgIn5S8 and AgIn5S7.992Se0.008 is 0.8 mA/cm2 and 1.15 mA/cm2 with an external voltage of 0V(vs. Ag/AgCl) respectively. Moreover, the result of stability test shows that photocorrosion phenomenon is inhibited by covering TiO2 on AgIn5S8-xSex photoelectrode thin film, and reduces 3.57% decay of photocurrent density.
41

Jhang, Jhen-Chang, and 張振昌. "A study of cadmium sulfide thin film grown by chemical bath deposition." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/32113733246343876177.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中山大學
材料科學研究所
94
In this study , we investigate the relationship between growth condition and thin film quality of cadmium sulfide (CdS) thin films grown by chemical bath deposition (CBD) method. Thin film structures are analyzed by X-ray diffraction , morphology and grain size are obtained by scanning electronic microscopy , and film thickness measured by reflectance spectroscopy . In medium pH value ( pH=10.5) , 20 min deposition can achieve 450 nm film thickness . At lower pH (pH=8.5), low hydrolysis rate of thiourea limits the concentration of sulfur anion , film thickness is only 49 nm after one hour deposition at 70 ℃ , and the obtained thin film can not fully cover the substrate to have a pin-hole free film . At higher pH (pH=11), 40 min only deposites 100 nm film thickness. Strong binding between the cadmium ion and the complexing agent causes low free cadmium cation concentration in the solution, which limits the growing rate. By varying the growth pH condition, the difference in thickness is more than one order of magnitude. In contrast to the film thickness relationship, grain size distribution suffers less influence from the pH consition. Our results indicate no explicitly relation between the grain size and solution pH condition. 20 minutes depositions result cadmium sulfide grain sizes to be 70±10nm , and 40 minutes result grain size to be 75±15nm . The results indicate that film thickness increases mainly due to the increasing of grain number, rather than the increasing of grain size . By summarized the results, we propose that the deposition of cadmium sulfide film is initially formed homogeneous nucleation in the solution. The nuclea diffuse and adhere to the substrate. Intristic electric dipole momentum of the cadmium sulfide nano-particle provides an attractive force for the adhesion, and results preferred orientation. Meanwhile, the grain keeps growing up until the size saturated .
42

Mugdur, Prakash. "Continuous flow microreactor for chemical bath deposition : a novel approach to the deposition of polycrystalline semiconductor thin films." Thesis, 2005. http://hdl.handle.net/1957/32160.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Over the years, chemical bath deposition (CBD) is being widely used in the fabrication of Cu (In, Ga) Se��� and CdTe based solar cells and photovoltaics. Many chalcogenides have been successfully deposited by this technique and it has received a great deal of attention owing to its low temperature and low-cost nature. CdS, an important layer in heterojunction solar cells and other optoelectronic devices, has been successfully deposited by this technique, which is normally carried out as a batch process. But a major disadvantage of batch CBD is the formation of particles and also unwanted deposition generating a lot of waste and thus resulting in defective devices. In this study, we have developed a continuous flow microreactor for CBD to overcome the drawbacks of batch process. This novel microreactor setup makes use of a micromixer for efficient mixing of the reactant streams and helps in controlling the particle size and distribution before the solution impinges on the hot substrate. CdS semiconductor thin films were successfully deposited on oxidized silicon substrates using the microreactor setup and a batch reactor as well. Comparisons of nanostructured thin films were performed by various characterization techniques. The surface morphology of the deposited films, carried out by AFM, SEM and Dektak surface profiler, clearly indicated an improved film quality in case of microreactor. This setup can also be used to deposit various other compound semiconductor thin films with improved film quality and minimum waste production.
Graduation date: 2005
43

Hsu, Wen-Chien, and 許文千. "Studies on In2S3 thin films by chemical bath deposition withdifferent acetic acid concentration." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/32261623168900526110.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立高雄大學
電機工程學系碩士班
99
In this thesis,the In2S3 film formed by chemical bath deposition(CBD) were studied.The surface morphology and optical properties were studied for the film deposited on glass substrate.Under the formation of contacts,the current-voltage and capacitance-voltage behaviors were studied for the films deposited on p-type silicon wafer with different acetic acid concentration.The spectral responsivity analysis under different bias were applied also.The band diagram was achieved and discussed.
44

Chen, Yu-ching, та 陳玉菁. "The study of β-In2S3 compound photoelectrode thin film by chemical bath deposition". Thesis, 2012. http://ndltd.ncl.edu.tw/handle/55785583010768073748.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立中央大學
能源工程研究所
100
In this study, chemical bath deposition (CBD) is applied to deposit β-In2S3 thin film on indium tin oxide coated glass (ITO), which can be used as the photoelectrode in photoelectrochemical production of hydrogen. The advantages of chemical bath deposition method are simple equipment, inexpensive and large area deposition. β-In2S3 can absorb ultraviolet and part of visible light, and has an extremely low toxicity so that it has large potential as the photoelectrode thin film. In our experiment, we investigate the crystal structure , morphology, optic property, and PEC performance under various working parameters, such as amount of nitric acid , number of thin film and stirring rate , thermal treatment temperature, cation and anion ratio, and bath temperature. The results show that the resulting β-In2S3 photoelectrode thin film has the direct band gap of 2.1 eV. With 100 mW/cm2(AM 1.5G) simulation sunlight as the light source, the photocurrent density of β-In2S3 photoelectrode thin film is 5.59 mA/cm2 at external voltage of 0 V(vs. Ag/AgCl), and 12 mA/cm2 at external voltage of 0.5 V(vs. Ag/AgCl), respectively. The photo-electric properties of the present thin film in better than that using the same process in literature before.
45

Yang-Pu-Ann and 楊普安. "The Study of Quantum Dot Sensitized Solar Cell by Microwave Chemical Bath Deposition." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/90377134506734712041.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立臺灣科技大學
化學工程系
101
There are many kinds of methods to fabricate quantum dots sensitized solar cells (QDSSCs). In this study, microwave reactor was employed to synthesize cadmium selenide (CdSe) quantum dots directly on the surfaces of titanium dioxide nanoparticles (TiO2-NPs) photoanode for the study of solar cells. In the microwave method, the photoanode can immerse into the precursor solution directly and control the decoration of quantum dots by immersion time. Due to provide the necessary energy immediately by microwave irradiation, quantum sots can decorate on the surfaces of photoanode rapidly and avoid the agglomeration of quantum dots on top of photoanodes. In this work, TiO2-NPs were used as photoanodes due to their high specific surface areas. Firstly, we discuss the influence of reaction time on the performance of QDSSCs. From results, we can find the conversion efficiency of QDSSCs can arrive 1.02 % when the precursor solution was refreshed twice and each time was 5 min. In addition, the conversion efficiency can arrive 1.68 % when different layers of cadmium sulfide and zinc sulfide were decorated on the surfaces of photoanodes. The carrier transport properties of these types of QDSSCs can be resolved by using electrochemical impedance spectroscopy technique with suitable equivalent circuit model. As for the counter electrode, we also prepared Co3S4 electrodes to replace Pt electrodes in our QDSSCs. The performance of Co3S4 electrodes can be evaluated by measuring charge transport resistance. From measurement, we can find the charge transfer resistance of Co3S4 has improved around 20 times compared with Pt counter electrode. The efficiency of QDSSCs can arrive 2.72 %.Furthermore,we also add TiO2-MS scattering layer on photoandoes. The efficiency of QDSSCs can arrive 2.92%
46

Chen, Jian-Jhih, and 陳建智. "A study of Chemical Bath Deposition Prepared ZnS Buffer layer for Solar Cell." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/62975948880591538681.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立東華大學
材料科學與工程學系
99
In the study, zinc sulfide thin films are prepared by chemical bath deposition. By adding the two different complex agents, the impacts of the zinc sulfide thin film growth in this study. First, it is used the Hydrazine as a complex agent and then control the same concentrations of zinc ions and sulfide ions. The pH value to be about 10 in the reactive solution by adding ammonia, and the complex agent of Hydrazine solutions are added and well mixed. The growth of zinc sulfide films in reaction solutions is taken place at 80℃. Secondly, the Na2EDTA was used by a complex agent, and the same fixed concentration of zinc ions and sulfide ions. It was control the pH vale by ammonia solution, respectively 9 and 6.5 of the alkaline and acidic environment. In this study, the deposition times, the different Hydrazine concentrations and reaction environments are the parameters. The thin film structure is sphalerite structure, which is determined by X-ray diffraction spectrometer, and the energy dispersive spectrometer is used and measured that indicating the surface have an ideal stoichiometric ratio 1:1. The Scanning electron microscopy is used as the observation of surface morphology. With the increase of deposition time, the surface of the film is observed that the heterogeneous nucleation and homogenous nucleation happen in the same time. According the transmittance measurements and the relationship between the transmittances and the energy gapes, it can be converted to the energy gaps about 3.59eV when the best deposition time is 60 minutes and the Hydrazine concentration is 0.5M with the best deposition time is 90 minutes and the Hydrazine concentration is 1.5M, respectively. It was used the Na2EDTA as a complex agent to grow the ZnS film. The results showed the temperature at 60℃, the pH value is 6.5 and 80℃, the pH value is 9.0 to deposition the thin film that we got the values of energy gaps were 3.62 and 3.63eV. The film results of the light transmission will be better than the other one in the two ways. In this condition the ZnS films deposited by the Na2EDTA which is more suitable for the applications of buffer layer material for the CIGS thin film solar cell.
47

Hong, Sheng-Jie, and 洪聖傑. "Numerical simulation of surface morphology and evolution mechanism by chemical bath deposition process." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/mvxzhb.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立臺南大學
材料科學系碩士班
106
Due to its low cost, low process temperature, ease of use, and mass production, the technology of chemical bath deposition has a wide range of applications. With the maturity of experimental processes and the advancement of computer science, the use of numerical simulations to improve the design or predict the experimental results of new processes has attracted great interest among researchers. While the materials are reduced to the nanometer scale, its possessed characteristics would be different from the raw materials. The particle size, surface morphology and crystallinity of the films affecting its performance are highly concerned and the researchers attempt to obtain the desired material properties by controlling the processes. In this study, the chemical bath deposition model was established by the phase field method. The surface morphology and evolution process via the ion-ion mechanism in a chemical bath deposition were investigated by the numerical calculations. According to the material selection and process parameters, such as the solution temperature, pH value and deposition time, the formation of surface microstructure would be different. Based on the amorphous, {100} and {111} crystallization system, various processing parameters, such as the deposition rate, mobility and anisotropy, were studied to reveal the principle mechanism of morphological formation and evolution. Furthermore, the quantitative analysis of surface roughness and average thickness were measured to make the simulation results more clear. With the calculation results of this study, it is expected to have a better understanding on the mechanisms of morphological formation and evolution during chemical bath deposition process. We hope this model could provide a guidance for the development of chemical bath deposition technology in the future.
48

Pan, Guan-Ting, and 潘冠廷. "The study of photoelectrochemical performance for AgIn5S8 thin film using chemical bath deposition." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/rn5aqe.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立臺北科技大學
化學工程研究所
95
The AgIn5S8 polycrystalline films were grown on indium-tin-oxide coated glass substrates by using chemical bath deposition. New procedures for the growth of AgIn5S8 films are presented. The solutions containing silver nitrate, indium nitrate, triethanolamine, ammonium nitrate, and thioacetamide in acidic solution were used for the growth of AgIn5S8 film electrodes. The influences of various deposition parameters on structural, optical, electrical performances of films have been investigated. The X-ray diffraction patterns of the samples demonstrates the presence of polycrystalline structures of AgIn5S8 phase in these films and show AgIn5S8 phase is the major crystal structure. The thickness, band gaps and carrier densities of these samples determined from transmittance spectra and electrochemical analysis are in the range of 650~1150 nm, 1.87~ 1.71 eV, and 4.05×1014~ 6.51×1014 cm-3, respectively. The flat band potentials of these samples are located between -0.293~ -0.403 V (v.sAg/AgCl) versus normal hydrogen electrode with the Mott- Schottky measurements. The conduction bands and valance bands of films determined from flat band potentials are in the range of -0.517~ -0.618 V (v.sAg/AgCl), and +1.092~ +1.228 V (v.sAg/AgCl). The maximum photocurrent density of samples prepared in this study with external potential kept at 3.5 V was found to be 6.0 mA/cm2 under the illumination with white light intensity kept at 100 mW/cm2.
49

Tseng, Jian-Shun, and 曾建順. "Photoelectrochemical properties of polycrystalline Ag2S/In2S3thin film electrodes prepared by chemical bath deposition." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/aa4je8.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立臺北科技大學
資源工程研究所
96
In this study, Ag2S/In2S3 films were deposited on indium tin oxide coated glass (ITO) substrates by chemical bath deposition (CBD). Silver nitrate, indium nitrate, ammonia nitrate, triethanolamine and thioacetamide were used as the sources of Ag+, In3+, buffer solution, complex agent and S2-, respectively. The films were prepared by two-step method. Firstly Ag2S thin film was deposited on ITO substrate and covered with In2S3 film the following step. After annealing, the crystallinity of the films turned to AgIn5S8 or AgInS2 due to the different thickness of In2S3 involved. The crystal structures of the thin films were identified by the powder X-ray diffraction and the photocurrents were measured in 0.35 M Na2S + 0.25 M K2SO3 electrolyte solution under illumination of 100 mW Xe lamp with a solar simulator (AM 1.5).
50

CHEN, WEI-HSIANG, and 陳威翔. "Preparation and Property Analysis of Zinc Oxide Thin Films by Chemical Bath Deposition." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/983z8b.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
逢甲大學
化學工程學系
107
Chemical bath deposition (CBD) is an efficient thin-film deposition technique due to its low-temperature and low-cost non-vacuum requirement. In this research, we applied CBD method for preparing Zinc oxide (ZnO) thin films and investigated the effects of bath temperature, precursor concentration, deposition time and ionic doping for the properties of ZnO thin films. The optical properties, surface morphology, sheet resistance, film thickness and crystal structure of the ZnO thin film were investigated by UV-VIS spectrophotometer (UV), field emission scanning electron microscope (FE-SEM), four-point probe, stylus profiler and X-ray diffraction (XRD), respectively. For the temperature effect, FE-SEM images showed that different surface morphology was altered with the increasing temperature. The increasing molar ratio of the precursors concentration significantly improved the crystallinity of the ZnO thin filn film. The sheet resistance presented a lowest value of 1.8*109 (Ω/□) for the ZnO film deposited during 60 mins compared to the films deposited during 10 and 30 mins. We found doping a trivalent metal ion (Al3+ or In3+) could increase the sheet resistance value, which may result from the poor metal ion doped ZnO film morphology due to too low metal precursor concentrations.

До бібліографії