To see the other types of publications on this topic, follow the link: Post Etch Residue Removal.

Journal articles on the topic 'Post Etch Residue Removal'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Post Etch Residue Removal.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Lee, Hong-Ji, Che-Lun Hung, Chia-Hao Leng, Nan-Tzu Lian, Ling-Wu Young, Tahone Yang, Kuang-Chao Chen, and Chih-Yuan Lu. "Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching." International Journal of Plasma Science and Engineering 2008 (September 23, 2008): 1–5. http://dx.doi.org/10.1155/2008/154035.

Full text
Abstract:
This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275∘C. An in situ O2-based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micromasking formed on the opening of TiN during the hard-mask patterning. We report that an additional TiN surface pretreatment with the Ar/CHF3/N2 plasmas could reduce the impact of the micromasking residues on blocked metal etch.
APA, Harvard, Vancouver, ISO, and other styles
2

Pollard, Kimberly, Meng Guo, Richie Peters, Mike Phenis, Laura Mauer, John Taddei, Ramey Youssef, and John Clark. "Efficient TSV Resist and Residue Removal in 3DIC." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2014, DPC (January 1, 2014): 001435–69. http://dx.doi.org/10.4071/2014dpc-wp12.

Full text
Abstract:
The continuing challenge to meet the need for lighter, smaller, faster and smarter electronic systems has pushed the advancement of 2.5D and 3D technology. The ability to create and integrate through-silicon vias (TSV) into device designs in 2.5- and 3-D platforms allows a decrease in interconnection path length, which results in improved device performance and reliability in a small form factor. Mainly due to its high silicon etch rate and selectivity to mask materials, the Bosch process is often used in the TSV fabrication. In this process, the silicon via is created by the deep reactive ion etching (DRIE). DRIE is comprised of repeating a combination of steps: an etch step and a passivation step. The passivation created in the DRIE process results in a fluoropolymer residue remaining on the wafer at the end of the process. The residue must be removed to enable deposition of a defect-free barrier, which enables a defect-free seed layer and void-free plating into the via. There are numerous technical papers and presentations on the etching and filling of these vias but the process for cleaning remains under addressed. Initially, standard processes used after RIE and consisting of an ashing process to remove any remaining photoresist, followed by immersion in a solution-based post etch residue remover were adopted for post-TSV cleans. However, the fluoropolymer does not have the same chemical characteristics as typical post-RIE etch residues and the major challenge has been the difficulty to completely remove it, especially on the via sidewall, using traditional post etches residue removers. Therefore, new formulated cleaning solutions and processes are actively sought for the removal of post etch residue for TSVs. This paper will describe a robust cleaning process for one step removal of both the photoresist and sidewall polymer residues from TSVs. A combination soak and high pressure spray process using a proprietary environmentally friendly chemistry, coupled with a megasonic final rinse provides a unique solution for both polymer residue and photoresist removals on high aspect ratio vias. SEM, EDX and Auger analysis will illustrate the cleanliness levels achieved.
APA, Harvard, Vancouver, ISO, and other styles
3

young-tack, Hong, Young il Kim, Moon-chul Lee, Park sunhee, Shim dongha, C. M. Park, Byungyou Hong, Yonghan Roh, Sung hae Jung, and Insang Song. "Post-etch residue removal in BCB/Cu interconnection structure." Thin Solid Films 435, no. 1-2 (July 2003): 238–41. http://dx.doi.org/10.1016/s0040-6090(03)00332-8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Thanu, D. P. R., S. Raghavan, and M. Keswani. "Post Plasma Etch Residue Removal in Dilute HF Solutions." Journal of The Electrochemical Society 158, no. 8 (2011): H814. http://dx.doi.org/10.1149/1.3597618.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Cazes, M., Christian Pizzetti, Jerome Daviot, Philippe Garnier, Lucile Broussous, Laurence Gabette, and Pascal Besson. "Customized Chemical Compositions Adaptable for Cleaning Virtually all Post-Etch Residues." Solid State Phenomena 282 (August 2018): 121–25. http://dx.doi.org/10.4028/www.scientific.net/ssp.282.121.

Full text
Abstract:
A post-etch residue cleaning formulation, based on balancing the aggressiveness of hydrofluoric acid with its well-known residue removal properties is introduced. In a series of investigations originally motivated by the cleaning challenge provided by high-k dielectric-based residues, a formulation platform is developed that successfully cleans residues resulting from the plasma patterning of tantalum oxide and similar materials while maintaining metal and dielectric compatibility. It is further shown that the fundamental advantages of this solution can be extended to the cleaning of other, more traditional post-etch residues, with no sacrifice in compatibility, as demonstrated by measurements on blanket films and through SEM data.
APA, Harvard, Vancouver, ISO, and other styles
6

Le, Quoc Toan, F. Drieskens, T. Conard, M. Lux, J. F. de Marneffe, H. Struyf, and G. Vereecke. "Modification of Post-Etch Residues by UV for Wet Removal." Solid State Phenomena 187 (April 2012): 207–10. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.207.

Full text
Abstract:
In back-end of line processing, the polymer deposited on the dielectric sidewalls during the etch must be removed prior to subsequent processing steps to achieve high adhesion and good coverage of materials deposited in the etched features [1,. Typically, this is done by a combination of short plasma treatment and diluted wet clean, or by wet cleans alone. On the one hand, for porous dielectric stacks, a mild plasma treatment that preserves the integrity of the low-k dielectrics would not be sufficient to efficiently remove this residue. Furthermore, aqueous cleaning solutions is not efficient to achieve a complete removal without etching the underlying layer. Hence appropriate wet clean chemistries are needed to dissolve/decompose these polymers without etching the dielectric. On the other hand, analytical techniques available for direct characterization of sidewall polymer are limited. For a fast screening of potential chemistries capable of dissolving/removing polymer residues generated during the low-k etch, a fluoropolymer deposited on a blanket, checkerboard low-k substrate was used as a model polymer. In our recent study [, using X-ray photoelectron spectroscopy (XPS), it was shown that the polymer was composed of CF, CF2, and CF3 groups. This model polymer was found to be very similar to the polymer residue generated during the etch of the low-k stack using similar plasma. The present study mainly focused on the effect of UV treatment and the concentration of active component in wet clean solution on the structure change of the polymer and the enhancement of polymer removal.
APA, Harvard, Vancouver, ISO, and other styles
7

Mauer, Laura, John Taddei, Ramey Youssef, Kimberly Pollard, and Allison Rector. "TSV Resist and Residue Removal." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2011, DPC (January 1, 2011): 001596–620. http://dx.doi.org/10.4071/2011dpc-wp14.

Full text
Abstract:
3D integration is the most active methodology for increasing device performance. The ability to create Through Silicon Vias (TSV) provides the shortest path for interconnections and will result in increased device speed and reduced package footprint. There are numerous technical papers and presentations on the etching and filling of these vias, however the process for cleaning is seldom mentioned. Historically, after reactive ion etching (RIE), cleaning is accomplished using an ashing process to remove any remaining photoresist, followed by dipping the wafer in a solution-based post etch residue remover. However, in the case of TSV formation, deep reactive ion etching (DRIE) is used to create the vias. A byproduct of this etching process is the formation of a fluorinated passivation layer, often referred to as a fluoropolymer. The fluoropolymer is not easily removed using traditional post etch residue removers, thus creating the opportunity for new and improved formulations and processes for its removal. This paper will describe a robust cleaning process for one step removal of both the photoresist and sidewall polymer residues from TSVs. A combination soak and high pressure spray process using Dynastrip™ AP7880™-C, coupled with a megasonic final rinse provides clean results for high aspect ratio vias. SEM, EDX and Auger analysis will illustrate the cleanliness levels achieved.
APA, Harvard, Vancouver, ISO, and other styles
8

Le, Quoc Toan, Els Kesters, I. Hoflijk, T. Conard, M. Shen, S. Braun, Y. Burk, and Frank Holsteyns. "Characterization of Etch Residues Generated on Damascene Structures." Solid State Phenomena 255 (September 2016): 227–31. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.227.

Full text
Abstract:
For patterned TiN/silicon oxide/low-k dielectric stack, fluorinated etch residues were detected on the TiN surface, the dielectric sidewall and bottom, regardless of the low-k material used in the stack. XPS results showed that they consisted of polymer-based (CFx) residues deposited on trench sidewall and bottom, and metal-based (TiFx) residues mainly deposited on top surface. In terms of post-etch residue removal, the efficiency of various wet clean solutions can be clearly distinguished for CFx, and TiFx using the same patterned porous low-k stack. These results also demonstrate that the removal of both TiFx and CFx residues generated during the plasma is possible in one step with optimized chemical and process.
APA, Harvard, Vancouver, ISO, and other styles
9

Myneni, Satyanarayana, and Dennis W. Hess. "Post-Plasma-Etch Residue Removal Using CO[sub 2]-Based Fluids." Journal of The Electrochemical Society 150, no. 12 (2003): G744. http://dx.doi.org/10.1149/1.1621879.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Akanishi, Yuya, Quoc Toan Le, and Efrain Altamirano Sánchez. "Removal of Post Etch Residue on BEOL Low-K with Nanolift." Solid State Phenomena 314 (February 2021): 277–81. http://dx.doi.org/10.4028/www.scientific.net/ssp.314.277.

Full text
Abstract:
Particle removal from BEOL low-k structures is studied using a novel particle removal technique, called Nanolift which removes particles from the substrate by forming a thin polymer film on the surface and removing the polymer film together with the particles. It was confirmed that Nanolift is capable to remove TiFx particles successfully which are generated during the low-k dry etch process for dual damascene structure formation for BEOL interconnect fabrication. Pattern collapse of the fragile low-k structure was confirmed to be prevented by Nanolift in comparison with conventional dual fluid spray cleaning method. FTIR results show that Nanolift leaves no residual polymer remain in low-k films and K-value shift by the Nanolift process was negligible and comparable with the conventional formulated chemistry cleaning process. From these results, Nanolift can be concluded as a suitable cleaning process for advanced BEOL fabrication process.
APA, Harvard, Vancouver, ISO, and other styles
11

Kesters, Els, Q. T. Le, D. Yu, M. Shen, S. Braun, A. Klipp, and F. Holsteyns. "Post Etch Residue Removal and Material Compatibility in BEOL Using Formulated Chemistries." Solid State Phenomena 219 (September 2014): 201–4. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.201.

Full text
Abstract:
A possible way to realize a 22.5 nm 1⁄2 pitch and beyond BEOL interconnect structures within the low-kmaterial is the partial-trench via first with self-aligned double patterning (SADP) integration approach. A scheme of this BEOL integration stack with the different materials used after patterning is described in Figure 1. In BEOL processing, fluorocarbon-containing plasma is commonly used to pattern silica-based dielectric layers. During the patterning of the low-kdielectric layer, a thin layer of fluoropolymer (CFx-type residues) is intentionally deposited on the dielectric sidewalls and TiN hardmask to ensure anisotropic etching and prevent/minimize dielectric degradation. This polymer layer must be removed from the sidewall and the via bottom prior to the subsequent processing steps to achieve good adhesion and coverage of materials deposited in the etched features. The compatibility requirement is even more stringent for advanced low-kdielectrics, i.e. materials with lowerk-value and higher porosity. The post etch residue (PER) amount and properties are specific and depend on the stack structure and the plasma that is used for patterning. The low-kmaterials and hardmasks that are used in this work are respectively an organo-silicate glass (OSG) type of low-kmaterial withk= 2.4 (~20 % open porosity) and low-stress TiN. Recent results clearly showed the presence of a highly fluorinated layer deposited on the trench sidewalls during the plasma etch based on a fluorocarbon plasma [1-3]. Commodity aqueous cleaning solutions, such as diluted HF, do not efficiently remove the sidewall polymers without etching the underlying layer (lift-off). Therefore, there is a need for commercially available chemicals that can be easily tuned to deal with the different requirements. This study focuses on the use of FOTOPUR® R 2300 mixed with H2O2 for polymer residue removal selectively to other materials (presented in the stack) such as MHM, metals (Cu, W), and porous low-k dielectrics. We will show that TiN etch can be easily tuned by changing the concentration of H2O2.
APA, Harvard, Vancouver, ISO, and other styles
12

De Gendt, Stefan, P. Snee, I. Cornelissen, Marcel Lux, Rita Vos, Paul W. Mertens, D. Martin Knotter, M. M. Meuris, and Marc M. Heyns. "A Novel Resist and Post-Etch Residue Removal Process Using Ozonated Chemistry." Solid State Phenomena 65-66 (November 1998): 165–68. http://dx.doi.org/10.4028/www.scientific.net/ssp.65-66.165.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Hayashida, Atsushi, Akiko Seki, Takashi Mashiko, Toshiyuki Sanada, and Masao Watanabe. "Removal of Post-dry Etch Residue uing Ultra Low Environmental Load Technique." ECS Transactions 25, no. 5 (December 17, 2019): 249–56. http://dx.doi.org/10.1149/1.3202660.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Liu, Bing, Libbert Peng, Julia Peng, Joey Yu, and Shumin Wang. "Material Etch Rate Control in the Fluoride Containing Stripper for Post Etch and Ashing Residue Removal." ECS Transactions 18, no. 1 (December 18, 2019): 635–39. http://dx.doi.org/10.1149/1.3096513.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Kabansky, Alexander, Glenn Westwood, Samantha Tan, Frederic Kovacs, David Lou, Joe Han, Gerardo Delgadino, and H. W. Chang. "Optimization of Cu/Low-k Dual Damascene Post-Etch Residue and TiN Hard Mask Removal." Solid State Phenomena 255 (September 2016): 237–41. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.237.

Full text
Abstract:
For advanced technology nodes TiN hard mask integration into Cu/low-k via/trench DD process requires the mask to be fully stripped after DD etching. The one-step H2O2 containing wet chemical clean aiming to removing TiN mask often failed to simultaneously clean etch residue. We developed more reliable two-step wet chemical process combining a solvent-based post-etch residue clean followed by a solvent/H2O2 mixture strip for TiN mask removal. Bath lifetime optimization was also demonstrated.
APA, Harvard, Vancouver, ISO, and other styles
16

Vos, Ingrid, David Hellin, Guy Vereecke, Elizabeth Pavel, Werner Boullart, and Johan Vertommen. "Effect of etch-clean delay time on post-etch residue removal for front-end-of-line applications." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 27, no. 5 (2009): 2301. http://dx.doi.org/10.1116/1.3225596.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Starov, V., D. Beery, and Alex Kabansky. "Integrated Cleaning: Application of Densified Fluid Cleaning (DFC) to Post-Etch Residue Removal." Solid State Phenomena 65-66 (November 1998): 195–98. http://dx.doi.org/10.4028/www.scientific.net/ssp.65-66.195.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Taubert, Jenny, Manish Keswani, and Srini Raghavan. "Post-etch residue removal using choline chloride–malonic acid deep eutectic solvent (DES)." Microelectronic Engineering 102 (February 2013): 81–86. http://dx.doi.org/10.1016/j.mee.2011.11.014.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Myneni, Satyanarayana, and Dennis W. Hess. "Post Plasma Etch Residue Removal Using CO[sub 2]-Based Mixtures: Mechanistic Considerations." Journal of The Electrochemical Society 152, no. 10 (2005): G757. http://dx.doi.org/10.1149/1.2007147.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Payne, Makonnen, Steven Lippy, Ruben R. Lieten, Els Kesters, Quoc Toan Le, Gayle Murdoch, Victor V. Gonzalez, and Frank Holsteyns. "Evaluation of Post Etch Residue Cleaning Solutions for the Removal of TiN Hardmask after Dry Etch of Low-k Dielectric Materials on 45 nm Pitch Interconnects." Solid State Phenomena 255 (September 2016): 232–36. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.232.

Full text
Abstract:
In the BEOL, as interconnect dimensions shrink and novel materials are used, it has become increasingly difficult for traditional PERR removal chemicals to meet the evolving material compatibility requirements. As a result, formulated cleans that specifically target these unique challenges are required. Two formulated BEOL cleans were evaluated on blanket and patterned wafer coupons for their ability to wet etch titanium nitride (TiN) and clean post-plasma etch residue, while remaining compatible to interconnect metals (Cu and W) and low-k dielectric (k = 2.4). Both, showed an improvement in material compatibility relative to dilute HF, while simultaneously being able to remove the TiN hardmask and post-etch residue, leading > 90% yield on test structures of varying sizes.
APA, Harvard, Vancouver, ISO, and other styles
21

Heidenblut, Maria, D. Sturm, Alfred Lechner, and Franz Faupel. "Characterization of Post Etch Residues Depending on Resist Removal Processes after Aluminum Etch." Solid State Phenomena 145-146 (January 2009): 349–52. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.349.

Full text
Abstract:
The subject of this report is the characterization of plasma etch residues after a metal etch process with Cl2/BCl3 etch gases. One of the interactive factors in the removability of the residues is the photo-mask removal process (DSQ). Depending on the DSQ process the molecular structure of the residues will differ. For our findings, we used laser spectroscopy and Fourier-transformed infrared spectroscopy to obtain information about the degree of the cross-linking of the molecular structure of residues in a post-metal etch cleaning process. The post-etch cleaning is important for removing residues remaining after the metal structuring process. The main goal is to use emission spectroscopy for studying the compounds of the dry-etch related residues. Finally, it was shown that small variations in wafer treatment directly after dry-etching results in different solubilities of residues in HDA (hydroxylamine) based solutions. [1]
APA, Harvard, Vancouver, ISO, and other styles
22

Gemmill, William R., Els Kesters, and Quoc Toan Le. "One-Step Wet Clean Removal of Post-Etch Fluoropolymer Residues." Solid State Phenomena 195 (December 2012): 136–38. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.136.

Full text
Abstract:
Back end of the line processing requires removal of deposited polymers resulting from etch processes. These polymers typically exist on the whole of the pattern including the dielectric sidewalls and can be removed by wet cleans or a combination of wet cleans and plasma treatments. When a porous dielectric is present these residues cannot be efficiently removed using plasma or certain wet cleans without potentially damaging the underlying porous dielectric layer. Therefore there exists a need for a one-step wet clean that can completely remove the residues without damaging the porous dielectric. Previous work has shown that a combination of a UV pretreatment followed by a wet clean can remove these residues [1]. These residues are composed of CF, -CF2, and CF3 groups as described by X-ray photoelectron spectroscopy (XPS). In an effort to improve the manufacturing viability of such a process we have undertaken a study to develop a one-step wet clean for fluoropolymer removal. Utilizing a blanket checkerboard pattern with a model fluoropolymer deposited on a porous low-κ substrate we have demonstrated the one-step wet clean of the aforementioned fluoropolymer while maintaining compatibility with the pristine and etch processed porous low-k dielectric.
APA, Harvard, Vancouver, ISO, and other styles
23

Chen, Bing-Hung, Hao Zhang, Chooi, Lap Chan, Y. Xu, and J. H. Ye. "Corrosive Behavior of Tungsten in Post Dry-Etch Residue Remover." Industrial & Engineering Chemistry Research 42, no. 24 (November 2003): 6096–103. http://dx.doi.org/10.1021/ie030025h.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Kesters, Els, Q. T. Le, I. Simms, K. Nafus, H. Struyf, and S. De Gendt. "Wet Removal of Post-Etch Residues by a Combination of UV Irradiation and a SC1 Process." Solid State Phenomena 195 (December 2012): 114–18. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.114.

Full text
Abstract:
In back-end of line processing (BEOL), the polymer deposited on the dielectric sidewalls during the etch process must be removed prior to subsequent processing steps to achieve high adhesion and good coverage of materials deposited in the etched features [1, . Typically, this is done by a combination of a short plasma treatment and a diluted wet clean, or by wet cleans alone. On the one hand, for porous dielectric stacks, a mild plasma treatment that preserves the integrity of the low-k dielectrics would not be sufficient to effectively remove this residue. With regard to wet clean, diluted aqueous solutions (e.g. HF-based) are not efficient for polymer removal without etching the underlying dielectric to lift off the polymer, leading to unacceptable critical dimension (CD) loss. In addition, analytical techniques available for direct characterization of sidewall residues are limited. For a fast screening of potential chemistries capable of dissolving/removing polymer residues generated during the low-k etch, a model fluoropolymer was deposited on a blanket, checkerboard low-k substrate. The present study mainly focused on the characterization of model polymer after deposition (as-deposited) and after immersion in aqueous and solvent-based cleaning solutions. The polymer removal efficiency was influenced/ improved by UV treatments prior to wet clean processes. In the second part of the study, selected UV treatment conditions and cleaning solutions were applied to low-k patterned structures using Angle-resolved X-ray photoelectron spectroscopy (AR-XPS) to characterize the dielectric sidewall before and after UV modification and the subsequent cleaning process.
APA, Harvard, Vancouver, ISO, and other styles
25

Hsu, Chia Jung, Chieh Ju Wang, Sheng Hung Tu, Makonnen Payne, Emanuel Cooper, and Steven Lippy. "High Throughput Wet Etch Solution for BEOL TiN Removal." Solid State Phenomena 255 (September 2016): 245–50. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.245.

Full text
Abstract:
Sub-10 nm technology node manufacturing processes may require the use of thicker and denser TiN hard mask for patterning at the BEOL. The modified TiN, which tends to be more chemically robust, must be removed using a wet etch process, while maintaining typical throughput - no extension of typical wet etch process times. To satisfy these needs, a new TiN etching accelerator was found that enhanced the activity of peroxide-related species in a wet etch chemical formulation that achieved increased TiN etch rate relative to formulation without TiN etch rate accelerator (Sample 1), while also minimizing the damage to ultra-low-k inter layer dielectric (ILD) layer by a strong base, also present in the formulation. We report here the result of a solvent based formulation, which adopted the TiN etching accelerator. The formulation was able to maintain TiN etch rate and remove post-etch residue, while remaining selective to ultra-low-k ILD, Co and Cu. The TiN etch rate of the accelerator enhanced formulation can be further tuned by modifying the process temperature or the hydrogen peroxide to formulation mixing ratio and has the potential capability to process > 400 wafers.
APA, Harvard, Vancouver, ISO, and other styles
26

Wei, Joyce C., and Micky Huang. "New Al Post-Etch Residue Remover with Al Surface Passivation Function." ECS Transactions 34, no. 1 (December 16, 2019): 343–48. http://dx.doi.org/10.1149/1.3567601.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Iwasaki, Akihisa, Kristell Courouble, Steven Lippy, Fabrice Buisine, Hidekazu Ishikawa, Emanuel Cooper, Evelyn Kennedy, Stephane Zoll, and Lucile Broussous. "Industrial Challenges of TiN Hard Mask Wet Removal Process for 14nm Technology Node." Solid State Phenomena 219 (September 2014): 213–16. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.213.

Full text
Abstract:
TiN Hard Mask (TiN-HM) integration scheme has been widely used for BEOL patterning in order to avoid ultra low-k (ULK) damage during plasma-ash process [1]. As the technology node advances, new integration schemes have to be used for the patterning of features below 80 nm pitch with 193 nm immersion lithography. In particular, thicker TiN-HM is necessary in order to ensure Self-Aligned-Via (SAV) integration which resolves via-metal short yield and TDDB issues caused by Litho-Etch-Litho-Etch (LELE) misalignment [2, 3]. The Cu filling process is significantly more difficult if the thick TiN is not removed because of the high aspect ratio of the structures. Moreover, with the use of TiN hard mask, a time-dependent crystal growth (TiCOF) residue may forms between line etch and metal deposition [4, 5], also hindering copper filling. Post-Etch-Treatment after line etching is one solution to the problem but N2plasma is not efficient enough to suppress the residue completely [6], and the CH4treatment proposed in [5] may be difficult to implement for 14 nm node, thus an efficient wet strip and clean provides a better solution.
APA, Harvard, Vancouver, ISO, and other styles
28

Suhard, Samuel, Martine Claes, James Loh, Guy Vereecke, Mariana Pantouvaki, Steven Demuynck, Bart Vereecke, and Gerarld Beyer. "Screening and Evaluation of Different Wet Cleaning Solutions for Post Etch Residue Removal in BEOL Applications." ECS Transactions 25, no. 5 (December 17, 2019): 101–7. http://dx.doi.org/10.1149/1.3202641.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Reid, Chris, Jerome Daviot, and Douglas Holmes. "Advanced Aqueous Cleaner II: PER Removal from Sensitive Cu/Low-k Devices." Solid State Phenomena 103-104 (April 2005): 373–76. http://dx.doi.org/10.4028/www.scientific.net/ssp.103-104.373.

Full text
Abstract:
This paper described the development of two types of Advanced Aqueous Cleaners (AAC™) for Aluminium (Al) Post Etch Residue (PER) removal. The first approach was developed to address a need for cleaning chemistries with a smaller environmental footprint that were also able to clean at significantly lower process times and temperatures than conventional wet chemical cleans. A broad screening experiment was undertaken during which it was highlighted it was possible to clean Al lines in an acidic region though this technology was not extendable to cleaning via features. However, the study emphasised the need to use a selective alkaline reducing formulation to maintain a high cleaning efficiency for the more complex residues formed during via etch. The novel Back End Of Line (BEOL) PER cleaners presented in this paper were optimised using a statistical Design Of Experiment (DOE) to perform at lower temperatures and shorter process times and were Fluoride and organic solvent free while containing a minimum of 80%wt water.
APA, Harvard, Vancouver, ISO, and other styles
30

Suzuki, Tomoko, Atsushi Otake, and Tomoko Aoki. "Design and Development of Novel Remover for Cu/Porous Low-k Interconnects." Solid State Phenomena 145-146 (January 2009): 315–18. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.315.

Full text
Abstract:
At 32nm and below the integration of extreme low-k dielectrics (ELK) with a permittivity of 2.2 or lower will require considerable process optimization at etch and clean to maintain critical dimension (CD) and effective k. Of equal concern is the impact on yield and reliability of lateral Cu etch or incomplete removal of copper oxides (CuOx) during post etch residue (PER) cleaning. These are not new issues but the challenges of solving them in the presence of ELK’s are considerable not least in relation to the question of selectivity towards “damaged low k” interfaces, often described as densified or C depleted layers.
APA, Harvard, Vancouver, ISO, and other styles
31

Mellies, Raimund, Stefan Kunz, Franz Nilius, Dieter Mayer, and Andreas Kühner. "New Post Etch Polymer Removal Process for Al-Interconnects and Vias in Tank and Spray Tools Using a New Inorganic Chemistry." Solid State Phenomena 103-104 (April 2005): 381–84. http://dx.doi.org/10.4028/www.scientific.net/ssp.103-104.381.

Full text
Abstract:
A Post-Etch-Residue (PER) removal process for tank and spray tools has been developed using a new inorganic aqueous based chemistry. The performance of this new type of polymer remover, Inosolv 400 Fotopur®, on process wafers is compared with other inorganic chemistries such as DSP (Dilute Sulphuric acid hydrogen Peroxide) and DSP+, containing traces of HF. Inosolv 400 Fotopur® has improved polymer removal capabilities. Furthermore Inosolv 400 Fotopur® does not show any attack of the metal or dielectric layers and is inorganic based and thus environmentally friendly.
APA, Harvard, Vancouver, ISO, and other styles
32

Sharma, Asha, Bruce Gondeck, Sunil Singh, Teck Jung Tang, Silas Scott, and Philippe Helal. "Optimization of Wet Strip for Metal Void Reduction in Trench First Metal Hard Mask Back End of Line Process." Solid State Phenomena 282 (August 2018): 250–55. http://dx.doi.org/10.4028/www.scientific.net/ssp.282.250.

Full text
Abstract:
The purpose of this paper is to study the effects of wet strip clean for metal void reduction in trench first metal hard mask back end of line (BEOL) integration process in 14 nm Technology. A thicker TiN film is becoming important to resolve via-metal short yield and time-dependent dielectric breakdown (TDDB) issues caused by the Litho-Etch-Litho-Etch (LELE) misalignment due to smaller patterning features. This brings the multitude of advanced integration technology need for complete TiN hard mask (HM) removal, post etch residue removal, ultra low-k dielectric (ULK) and Cu stability, interconnect resistance, and continuing high volume manufacturing (HVM) cost challenges together with environmental concerns and the waste handling/treatment cost. At GlobalFoundries, we achieved a wet strip clean process with a 45 % lower cost of ownership (CoO) while maintaining the TiN HM removal rate, baseline critical dimension (CD), normalized defect density (DOI), the ULK and Cu stability, via resistance, and yield.
APA, Harvard, Vancouver, ISO, and other styles
33

Lee, Hun Hee, Min Sang Yun, Hyun Wook Lee, and Jin Goo Park. "Removing W Polymer Residue from BEOL Structures Using DSP+ (Dilute Sulfuric-Peroxide-HF) Mixture – A Case Study." Solid State Phenomena 195 (December 2012): 128–31. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.128.

Full text
Abstract:
As the feature size of semiconductor device shrinks continuously, various high-K metals for 3-D structures have been applied to improve the device performance, such as high speed and low power consumption. Metal gate fabrication requires the removal of metal and polymer residues after etching process without causing any undesired etching and corrosion of metals. The conventional sulfuric-peroxide mixture (SPM) has many disadvantages like the corrosion of metals, environmental issues etc., DSP+(dilute sulfuric-peroxide-HF mixture) chemical is currently used for the removal of post etch residues on device surface, to replace the conventional SPM cleaning [. Due to the increased usage of metal gate in devices in recent times, the application of DSP+chemicals for cleaning processes also increases [.
APA, Harvard, Vancouver, ISO, and other styles
34

Luo, Victor, Jason Chang, Kevin Shi, James Pang, Justin Ni, Jason Zhang, Libbert Peng, and David Wei Zhang. "Effect of De-ionized Water Rinse in AlCu Line Post Etch Asher Residue Removal Process Using Fluoride Containing Stripper." ECS Transactions 44, no. 1 (December 15, 2019): 319–23. http://dx.doi.org/10.1149/1.3694333.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Kirk, Simon J., and Robert Small. "The Effect of DI Water and Intermediate Rinse Solutions on Post Metal Etch Residue Removal Using Semi-Aqueous Cleaning Chemistries." Solid State Phenomena 76-77 (January 2001): 307–10. http://dx.doi.org/10.4028/www.scientific.net/ssp.76-77.307.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Iwasaki, Akihisa, Ayumi Higuchi, Kana Komori, Masanobu Sato, Els Kesters, Quoc Toan Le, and Frank Holsteyns. "Rapid Recovery Process of Plasma Damaged Porous Low-k Dielectrics by Wet Surface Modifying Treatment." Solid State Phenomena 255 (September 2016): 223–26. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.223.

Full text
Abstract:
A rapid repair process of plasma damaged SiCOH in combination with post-etch residue removal has been developed. The carbon depletion layer caused by plasma dry etching was repaired by subsequent surface modifying SAM treatment, which resulted in replenishment of carbon not only on the surface but also a few nm toward the bulk. This repairing technique provides a high-quality hydrophobic surface under conditions of low temperature and short process time. In addition, the SAM layer can be expected to act as an adhesion promotor with metal materials.
APA, Harvard, Vancouver, ISO, and other styles
37

Levitin, Galit, Satyanarayana Myneni, and Dennis W. Hess. "Post Plasma Etch Residue Removal Using CO[sub 2]-TMAHCO[sub 3] Mixtures: Comparison of Single-Phase and Two-Phase Mixtures." Journal of The Electrochemical Society 151, no. 6 (2004): G380. http://dx.doi.org/10.1149/1.1723503.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Jung, Jae Mok, Hullathy Subban Ganapathy, Haldorai Yuvaraj, Keith P. Johnston, and Kwon Taek Lim. "Removal of HF/CO2 post-etch residues from pattern wafers using water-in-carbon dioxide microemulsions." Microelectronic Engineering 86, no. 2 (February 2009): 165–70. http://dx.doi.org/10.1016/j.mee.2008.09.006.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Le, Q. T., M. Claes, T. Conard, E. Kesters, M. Lux, and G. Vereecke. "Removal of post-etch photoresist and sidewall residues using organic solvent and additive combined with physical forces." Microelectronic Engineering 86, no. 2 (February 2009): 181–85. http://dx.doi.org/10.1016/j.mee.2008.09.029.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Le, Q. T., J. F. de Marneffe, T. Conard, I. Vaesen, H. Struyf, and G. Vereecke. "Effect of UV Irradiation on Modification and Subsequent Wet Removal of Model and Post-Etch Fluorocarbon Residues." Journal of The Electrochemical Society 159, no. 3 (2012): H208—H213. http://dx.doi.org/10.1149/2.008203jes.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Daviot, Jerome, Chris Reid, and Douglas Holmes. "Advanced Aqueous Cleaner I, Dilute Solutions for the Selective Removal of Post Etch Residues in the Presence of Aluminium." Solid State Phenomena 103-104 (April 2005): 377–80. http://dx.doi.org/10.4028/www.scientific.net/ssp.103-104.377.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Claes, Martine, Vasile Paraschiv, S. Beckx, M. Demand, W. Deweerd, Sylvain Garaud, H. Kraus, et al. "Selective Wet Removal of Hf-Based Layers and Post-Dry Etch Residues in High-k and Metal Gate Stacks." Solid State Phenomena 103-104 (April 2005): 93–96. http://dx.doi.org/10.4028/www.scientific.net/ssp.103-104.93.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Taubert, Jenny, and Srini Raghavan. "Effect of composition of post etch residues (PER) on their removal in choline chloride–malonic acid deep eutectic solvent (DES) system." Microelectronic Engineering 114 (February 2014): 141–47. http://dx.doi.org/10.1016/j.mee.2012.12.009.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Levitin, Galit, Christopher Timmons, and Dennis W. Hess. "Photoresist and Etch Residue Removal." Journal of The Electrochemical Society 153, no. 7 (2006): G712. http://dx.doi.org/10.1149/1.2203096.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Rai, Priyanka, Alok Srivastava, Ishwar R. Dhayal, and Sanjeet Singh. "Comparison of Safety, Efficacy and Cost Effectiveness of Photoselective Vaporization with Bipolar Vaporization of Prostate in Benign Prostatic Hyperplasia." Current Urology 11, no. 2 (2017): 103–9. http://dx.doi.org/10.1159/000447202.

Full text
Abstract:
Objectives: To compare bipolar vaporization of prostate (BPVP) with photoselective vaporization (PVP) of prostate in the surgical management of benign prostatic hyperplasia in terms of safety, efficacy and cost effectiveness. Methods: Data was analyzed retrospectively for patients who underwent either PVP or BPVP between August 2012 to July 2014 for prostate size ≤ 80 ml. Preoperative and postoperative period values along with details like operative time, blood loss, hospitalization days, catheter removal time, blood transfusion and etc., were noted down. International prostatic symptom score, quality of life scores, post void residue, and maximum flow rate were recorded preoperatively and postoperatively at each follow-up visit. Follow-up was performed at 1, 3, 6 ,12 and 18 months. Results: Similar preoperative characteristics were observed in all the study arms. Hemoglobin drop, transfusion rate, catheter time and hospital days were similar in both the groups. The follow-up data indicates sustainable significant improvement in international prostatic symptom score, quality of life, post void residue and maximum flow rate in both the groups. As expected the cost of the procedure was significantly more in PVP group as compared to BPVP group (p < 0.01). Neither group had severe perioperative complications and no blood transfusion was required in both the groups. Conclusion: Both PVP and BPVP were safe and effective alternatives in men requiring surgery for benign prostatic hyperplasia including patients who were on anticoagulants. Additionally, BPVP has the advantage of being significantly cheaper and therefore it can be more useful in developing countries.
APA, Harvard, Vancouver, ISO, and other styles
46

Peters, Richard, Yuanmei Cao, Kim Pollard, Don Pfettscher, and Mike Phenis. "Formulation Development for Bosch Etch Residue Removal: Effect of Solvent on Removal Efficiency." International Symposium on Microelectronics 2015, no. 1 (October 1, 2015): 000121–25. http://dx.doi.org/10.4071/isom-2015-tp45.

Full text
Abstract:
The Bosch etch process is a critical process step used to create through silicon vias (TSVs) for 3D integrated circuit manufacturing. During the Bosch etch, a fluoropolymer passivation layer is formed on the sidewall of TSVs to help achieve a vertical profile and to protect the exposed dielectric materials. The fluoropolymer residue on the sidewalls in the TSVs must be removed prior to subsequent process steps. The highly fluorinated character of the fluorocarbon polymer residue makes its complete removal challenging due to characteristics such as limited solubility in solvents and slow or no reactivity with components of common cleaning or strip solutions. In this paper, the results of a study of solvents for developing formulations for removal of Bosch etch residue from TSVs are presented. The selection of components for an etch residue remover must take into consideration several key factors including removal efficiency, environmental-health-safety (EHS) guidelines, and material cost. The results demonstrate that the solvent selection has a dramatic impact on polymer removal efficiency, where poor solvent selection can lead to the formation of polymer balls inside the vias. The reported studies include cleaning results using a combination of polar solvents including protic and aprotic solvents, and amide and non-amide solvents. The cleaning performance is compared with a prediction using Hansen solubility parameters. Complete residue removal using TMAH-free and NMP-free formulations for TSV diameters down to 5 μm is demonstrated. Scanning electron microscopy, (SEM), energy-dispersive X-ray spectroscopy (EDS), and Auger electron spectroscopy (AES) were used to characterize the cleaning performance.
APA, Harvard, Vancouver, ISO, and other styles
47

Vos, Ingrid J., David Hellin, Steven Demuynck, Olivier Richard, Thierry Conard, Johan Vertommen, and Werner Boullart. "A Novel Concept for Contact Etch Residue Removal." ECS Transactions 11, no. 2 (December 19, 2019): 403–7. http://dx.doi.org/10.1149/1.2779404.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Cui, H. "TiN Hardmask Etch Residue Removal for Cu Interconnect Fabrication." ECS Transactions 60, no. 1 (February 27, 2014): 373–77. http://dx.doi.org/10.1149/06001.0373ecst.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Kleemeier, W., V. Leon, and S. Graham. "Plasma Etch Residue and Photoresist Removal Utilizing Environmentally Benign Process Chemicals." Solid State Phenomena 65-66 (November 1998): 143–52. http://dx.doi.org/10.4028/www.scientific.net/ssp.65-66.143.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Kim, Tae Gon, Quoc Toan Le, Samuel Suhard, Marcel Lux, Guy Vereecke, Martine Claes, Herbert Struyf, Stefan De Gendt, Paul W. Mertens, and Marc M. Heyns. "Characterization of Low-k Dielectric Etch Residue on the Sidewall by Chemical Force Microscope." Solid State Phenomena 187 (April 2012): 197–200. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.197.

Full text
Abstract:
Atomic force microscope (AFM) with inclined sample measurement and hydrophobic functionalized AFM probe was used to visualize the sidewall of low-k pattern and allowed to characterize the hydrophobic characteristics on the sidewall after low-k etch. To functionalized the AFM probe, 1H,1H,2H,2H-Perfluorodecyltrichlorosilane (FDTS) as a hydrophobic film was coated on an AFM probe. Because of the magnitude of the phobic-phobic interaction force and the tip forced to make a phase shift. Using this technique the visualization and characterization of the etch residue on the low-k sidewall can be successfully performed. It is shown that the investigation toward an effective chemical clean for the etch residue removal could be applicable.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography