Добірка наукової літератури з теми "ALD precursors"

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся зі списками актуальних статей, книг, дисертацій, тез та інших наукових джерел на тему "ALD precursors".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Статті в журналах з теми "ALD precursors":

1

Norman, John A., Melanie Perez, Xinjian Lei, and Hansong Cheng. "New Precursors for Copper ALD." ECS Transactions 3, no. 15 (December 21, 2019): 161–70. http://dx.doi.org/10.1149/1.2721485.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Kurek, Agnieszka, Peter G. Gordon, Sarah Karle, Anjana Devi, and Seán T. Barry. "Recent Advances Using Guanidinate Ligands for Chemical Vapour Deposition (CVD) and Atomic Layer Deposition (ALD) Applications." Australian Journal of Chemistry 67, no. 7 (2014): 989. http://dx.doi.org/10.1071/ch14172.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Volatile metal complexes are important for chemical vapour deposition (CVD) and atomic layer deposition (ALD) to deliver metal components to growing thin films. Compounds that are thermally stable enough to volatilize but that can also react with a specific substrate are uncommon and remain unknown for many metal centres. Guanidinate ligands, as discussed in this review, have proven their utility for CVD and ALD precursors for a broad range of metal centres. Guanidinate complexes have been used to deposit metal oxides, metal nitrides and pure metal films by tuning process parameters. Our review highlights use of guanidinate ligands for CVD and ALD of thin films over the past five years, design trends for precursors, promising precursor candidates and discusses the future outlook of these ligands.
3

Zhao, Ming-Jie, Zhi-Xuan Zhang, Chia-Hsun Hsu, Xiao-Ying Zhang, Wan-Yu Wu, Shui-Yang Lien, and Wen-Zhang Zhu. "Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy." Nanomaterials 11, no. 4 (April 10, 2021): 978. http://dx.doi.org/10.3390/nano11040978.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Indium oxide (In2O3) film has excellent optical and electrical properties, which makes it useful for a multitude of applications. The preparation of In2O3 film via atomic layer deposition (ALD) method remains an issue as most of the available In-precursors are inactive and thermally unstable. In this work, In2O3 film was prepared by ALD using a remote O2 plasma as oxidant, which provides highly reactive oxygen radicals, and hence significantly enhancing the film growth. The substrate temperature that determines the adsorption state on the substrate and reaction energy of the precursor was investigated. At low substrate temperature (100–150 °C), the ratio of chemically adsorbed precursors is low, leading to a low growth rate and amorphous structure of the films. An amorphous-to-crystalline transition was observed at 150–200 °C. An ALD window with self-limiting reaction and a reasonable film growth rate was observed in the intermediate temperature range of 225–275 °C. At high substrate temperature (300–350 °C), the film growth rate further increases due to the decomposition of the precursors. The resulting film exhibits a rough surface which consists of coarse grains and obvious grain boundaries. The growth mode and properties of the In2O3 films prepared by plasma-enhanced ALD can be efficiently tuned by varying the substrate temperature.
4

Clancy, Phil, Lisa S. Milstein, Hugh Gotts, Dan Cowles, Piyamit Chitrathorn, Zhiwen Wan, Lynn Vanatta, and Qingqing Bales. "Analytical Characterization of ALD Thin Film Precursors." ECS Transactions 28, no. 1 (December 17, 2019): 349–59. http://dx.doi.org/10.1149/1.3375621.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Wada, Senji, Tetsuji Abe, Atsushi Sakurai, Takashi Higashino, Ryuusaku Fujimoto, and Masako Shimizu. "Development of ALD Precursors for Semiconductor Devices." ECS Transactions 16, no. 4 (December 18, 2019): 103–11. http://dx.doi.org/10.1149/1.2979985.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Bielinski, Ashley R., and Alex B. F. Martinson. "(Invited) Investigating Surface Reaction Thermodynamics: In Situ Calorimetry for Atomic Layer Deposition." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1124. http://dx.doi.org/10.1149/ma2022-02311124mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Atomic layer deposition (ALD) is comprised of sequential self-limiting surface reactions that are inherently sensitive to the surface chemistry of the substrate and the reactivity of the chosen precursors. In order to better understand ALD processes and direct their future development we must investigate the fundamental mechanisms of ALD reactions. This is commonly done using a combination of computational modeling and experimental investigations using techniques like spectroscopic ellipsometry and IR spectroscopy. We present pyroelectric calorimetry for ALD as an in situ technique to measure the time resolved heat generation from ALD reactions. This provides a new dimension of thermodynamic and kinetic reaction data. As an experimental technique, pyroelectric calorimetry measures ALD reactions on realistic surfaces as opposed to idealized models. We have designed and constructed custom calorimeters that are compatible with typical ALD process parameters. These calorimeters have been calibrated with a thermal resolution down to 0.1 μJ/cm2 and a temporal resolution of 50ns. To put this in perspective for an ALD reaction, this corresponds to about 0.1% of the heat evolved in the trimethylaluminum (TMA) and water ALD process and about 104 faster than our measurement of the TMA half reaction. The temporal resolution of our calorimeters is orders of magnitude faster than most complementary in situ analysis techniques including ellipsometry, IR spectroscopy, quartz crystal microgravimetry, and mass spectrometry. This time resolution provides information on precursor flow dynamics and reaction kinetics. Pyroelectric calorimetry was used to investigate the TMA and water half reactions for ALD Al2O3 along with in situ spectroscopic ellipsometry thickness measurements and Rutherford backscattering spectrometry (RBS) composition analysis. This enabled comparisons of the change in thickness with the amount of heat generated as each half reaction saturates. Atomic growth rates calculated form RBS were used to calculate the heat generated on a per-atom basis. These results were then compared with proposed reaction mechanisms and energy changes from density functional theory (DFT) computational modeling. Measurements of reaction and adsorption heats can also be used to compare and evaluate different precursors and inhibitor molecules for ALD growth inhibition in area-selective ALD. We investigated how the thermodynamics of adsorption can guide our choice of precursor-inhibitor pairs when using small molecule inhibitors that rely on competitive adsorption and chemical passivation to prevent ALD growth. Pyroelectric calorimetry offers new insight into what drives and limits ALD reactions and provides many opportunities for future investigations.
7

Lee, Wen-Jen, and Yong-Han Chang. "Growth without Postannealing of Monoclinic VO2 Thin Film by Atomic Layer Deposition Using VCl4 as Precursor." Coatings 8, no. 12 (November 27, 2018): 431. http://dx.doi.org/10.3390/coatings8120431.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Vanadium dioxide (VO2) is a multifunctional material with semiconductor-to-metal transition (SMT) property. Organic vanadium compounds are usually employed as ALD precursors to grow VO2 films. However, the as-deposited films are reported to have amorphous structure with no significant SMT property, therefore a postannealing process is necessary for converting the amorphous VO2 to crystalline VO2. In this study, an inorganic vanadium tetrachloride (VCl4) is used as an ALD precursor for the first time to grow VO2 films. The VO2 film is directly crystallized and grown on the substrate without any postannealing process. The VO2 film displays significant SMT behavior, which is verified by temperature-dependent Raman spectrometer and four-point-probing system. The results demonstrate that the VCl4 is suitably employed as a new ALD precursor to grow crystallized VO2 films. It can be reasonably imagined that the VCl4 can also be used to grow various directly crystallized vanadium oxides by controlling the ALD-process parameters.
8

Barr, Maissa K. S., Sonja Stefanovic, Negar Gheshlaghi, David Zanders, Anjana Devi, and Julien Bachmann. "Direct Patterning of ZnO Deposition By Atomic-Layer Additive Manufacturing Using a Safe and Economical Precursor." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1133. http://dx.doi.org/10.1149/ma2022-02311133mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
AS-ALD has the potential to overcome many of the challenges the semiconductor industry is facing by enabling self-aligned fabrication, instead of lithography’s cost, resit, equipment. Main challenges in AS-ALD are the need for pre-patterned substrates, defects outside of the desired growing area resulting from insufficient selectivity, and limited selection of substrate materials that allow deposition. [1, 2] To overcome these challenges, we chose for the first time the novelty of direct patterning with an AL-3D printer, also called atomic layer additive manufacturing (ALAM). 3D-printing is a flexible and efficient tool for reducing the cost and time spend for designing and manufacturing. AL-3D in principle functions similar to conventional g-ALD and the special design of nozzle led in producing direct patterning. All the commercially available precursors which have been used in g-ALD are compatible with our invented equipment and not only we can produce the same films conveniently but also this device allows us to use new precursors e.g. Zn(DMP)2 opening a new chemistry window. We have already deposited different materials TiO2, Pt, SiO2, Al2O3 successfully using TTIP, (MeCp)PtMe3, APTES, TMA as precursor. The ZnO process is very well behaved in ALD community as a diethylzinc (ZnEt2) precursor’s very high vapor pressure and its very high reactivity to water. Our attempts at reducing the amount of vapor delivered were successful in part but the results were not sufficiently reproducible, therefore we turned to the use of a new zinc precursor instead. In this work we focus on the new precursor Zn(DMP)2 instead of ZnEt2 for ZnO deposition. The Zn(DMP)2 has a very low vapor pressure, the ethyl groups are complemented by dimethylamino substituents that stabilize central zinc ion and thereby render the molecule less reactive to water. Our characterization results shows the deposited ZnO films with our new precursor has same structure and composition as with ZnEt2 with a constant growth rate of 1.0 Å per cycle. the crystals are oriented in a roughly isotropic manner. After testing Zn(DMP)2 in classical ALD we achieved to print homogeneous patterns with well-defined edges and uniform thicknesses among the whole pattern. The rough particulate deposits indicative of uncontrolled CVD-mode deposition obtained with the ZnEt2 + water reaction are completely absent of lines obtained from the Zn(DMP)2 + water reaction. Furthermore, we fabricate a metal oxide transistor MOSFET to demonstrate the practical applications of the device. Clark; K. Tapily; K.-H. Yu; T. Hakamata; S. Consiglio; D. O´Meara; C. Wajda; J. Smith; G. Leusink; Perspective: New Process Technologies Required for Future Device and Scaling. APL Mater. 2018, 6, 05203 Fang; J. C. Ho, Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9 (9), 8651-8654.
9

Shevate, Rahul, Vepa Rozyyev, Rajesh Pathak, Anil U. Mane, Seth B. Darling, and Jeffrey W. Elam. "Tailoring the Interfacial Interactions of Porous Polymer Membranes to Accelerate Atomic Layer Deposition: The Latent Path to Antifouling Membranes." ECS Meeting Abstracts MA2022-02, no. 31 (October 9, 2022): 1160. http://dx.doi.org/10.1149/ma2022-02311160mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Atomic layer deposition (ALD) is a powerful strategy to engineer hybrid organic-inorganic membranes with emergent functionalities. The combination of atomic-level thickness control, wide materials palette, and unprecedented conformality allow the physiochemical properties (e.g., hydrophilicity) of mesoporous polymer membranes to be precisely tuned. The nucleation of ALD materials growth on polymer surfaces relies on chemical interactions between the ALD metalorganic precursor and functional groups in the polymer structure and these interactions dictate the number of ALD cycles required to achieve a continuous coating. Strategies to enhance these interactions could enable desirable properties such as anti-fouling behavior to be imparted on inert polymer surfaces that lack the necessary functional groups for ALD nucleation. In this study, we demonstrate that the reactivity of polyacrylonitrile (PAN) membranes towards ALD metal oxide (MO) precursors with Lewis acid characteristics is enhanced by introducing Lewis base functional groups (amidoxime: Am) on the PAN backbone. The resulting Lewis acid-base interactions accelerates the MO nucleation in Am-PAN and reduce the number of deposition cycles required to achieve hydrophilicity compared to the untreated PAN membrane. Unveiling the reaction mechanism, the in-situ FTIR intensity changes established enhanced interaction dynamics between the ALD MO precursors and the Am-PAN membrane, unlike the PAN membrane. For similar MO cycles, through both spectroscopic and thermogravimetric analysis, we observe enhanced MO loading in the Am-PAN membrane compared to the PAN membrane. Here we have verified that strong Lewis acid-base interactions led to enhanced loading for a range of ALD MO materials including Al2O3, TiO2, SnO2, and ZnO. Most importantly, the Al2O3-Am-PAN hybrid membrane showed 23.3% higher antifouling capability compared to the pristine PAN membrane. Our approach expands the scope of design options for fouling-resistant porous hybrid inorganic-organic membranes and may reduce manufacturing costs of water treatment membranes.
10

Park, Jeongwoo, Neung Kyung Yu, Donghak Jang, Eunae Jung, Hyunsik Noh, Jiwon Moon, Deoksin Kil, and Bonggeun Shong. "Adsorption of Titanium Halides on Nitride and Oxide Surfaces during Atomic Layer Deposition: A DFT Study." Coatings 10, no. 8 (July 23, 2020): 712. http://dx.doi.org/10.3390/coatings10080712.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Various processes based on atomic layer deposition (ALD) have been reported for growing Ti-based thin films such as TiN and TiO2. To improve the uniformity and conformity of thin films grown via ALD, fundamental understanding of the precursor–substrate surface reactions is required. Herein, we present a density functional theory (DFT) study of the initial nucleation process of some titanium halide precursors (TiCl4, TiBr4, and TiI4) on Si surfaces having –OH or –NH2 functional groups. We consider the most favorable adsorption site in the reaction between the precursor and functional group of the surface, based on the thermodynamics and kinetics of the reaction. Sequential dissociation reaction mechanisms of halide ligands were systematically investigated. The exothermicity of the dissociative adsorption was found to be in the order of: TiI4 > TiBr4 > TiCl4. In addition, the precursors were observed to be more exothermic and show higher reaction rate constant when adsorbed on the –OH–terminated surface than on the –NH2–terminated surface. These observations reveal the selectivity of deposition by surface functional groups.

Дисертації з теми "ALD precursors":

1

Rönnby, Karl. "A computational study on indium nitride ALD precursors and surface chemical mechanism." Thesis, Linköpings universitet, Kemi, 2018. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-144426.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Indium nitride has many applications as a semiconductor. High quality films of indium nitride can be grown using Chemical Vapour Deposition (CVD) and Atomic Layer Deposition (ALD), but the availability of precursors and knowledge of the underlaying chemical reactions is limited. In this study the gas phase decomposition of a new indium precursor, N,N-dimethyl-N',N''-diisopropylguanidinate, has been investigated by quantum chemical methods for use in both CVD and ALD of indium nitride. The computations showed significant decomposition at around 250°C, 3 mbar indicating that the precursor is unstable at ALD conditions. A computational study of the surface chemical mechanism of the adsorption of trimethylindium and ammonia on indium nitride was also performed as a method development for other precursor surface mechanism studies. The results show, in accordance with experimental data, that the low reactivity of ammonia is a limiting factor in thermal ALD growth of indium nitride with trimethylindium and ammonia.
2

O'Kane, Ruairi. "New precursors for the deposition of Hf02 and ZrO2 by MOCVD and ALD." Thesis, University of Liverpool, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.485849.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Zr02 and Hf02 are two high-pemitivity (lC) dielectric oxides that are currently being investigated as alternatives to Si02 as the dielectric insulating layer in subO. lflm CMOS technolqgy and capacitor layers in .the next generation DRAM. Metal organic chemical vapour deposition (MOCVD) and atomic layer deposition (ALD) are promising techniques for the deposition of the these high-lC dielectric oxides. This study describes the preparation ofnew metal-alkoxides, metallocenes . and ansa-bridged metilllocenes of zirconium and hafnium for use as precursors in MOCVD and ALD. The structure of the metal-alkoxides [Zr(OIBu)2(dmop)2] (1), [Hf(OIBu)2(dmop)2] (2), [Hf(dmop)4] (3), [Hf(OIBu)2(dmoph)2] (4), [Hf2(flr O)(dmoph)6] (7), [Zr(mph)4] (8), [Hf(mph)4] (9), [Hf2(mph)6][(CH3)2NH2] (10) have been determined by single crystal X-ray diffraction (XRD). The preparation of a series of metallocenes of hafnium is described [Cp2HfMe(OMe)] (11), [Cp2HfMe(OEt)] (12), [Cp2HfMe(Oipr)] (13), [Cp2Hf(OMe)2] (14), [Cp2Hf(OEt)2] (15), [Cp2Hf(Oipr)2] (16), [Cp2Hf(OIBu)2] (17), [Cp2Hf(mmp)2] (18), [(Me-Cp)2HfMe(OMe)] (19), [(Me-Cp)2HfMe(OEt)] (20), [(MeCp) 2HfMe(Oipr)] (21), [(Me-Cp)2HfMe(OIBu)] (22), [(Me-Cp)2HfMe(mmp)] (23) and the structure of [Hf(T\5-C5~)2Cl(CH3)] (24) has been determined by single crystal XRD. Chapter 4 describes the synthesis of the. ansa-bridged and hafnium [Zr{H2C(C5~)2}Me2] (25), [Hf{H2C(C5~)2}Me2] (26), [Zr{(CH3)C(C5~)}(CH3)2] (27), and [Hf{(CH3)C(C5~)}(CH3)2] (28). This project involves the investigation of a range new zirconium and hafnium alkoxides and metallocenes with the aim of developing improved MOCVD . and ALD precursors.
3

Wrench, Jacqueline Samantha. "Synthesis of Ce(IV) and Ti(IV) alkoxides for use as precursors for MOCVD and ALD." Thesis, University of Liverpool, 2011. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.569253.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
A synthetic route for the general synthesis of Ce(IV) alkoxides is described utilising a reaction with eerie ammonium nitrate and tert-butoxide to create the in situ intermediate [Ce(OBut)4] by means of salt metathesis. A further alkoxy- exchange reaction with the desired alkoxide resulted in the formation of alkoxide complexes [Ce(L)4] (L = mmp, dmae, dmap, dmop, dmomp). The compounds [Ce(mmp)4], [Ce(dmap)4] and [Ce(dmop)4] were characterised crystallographically. [Cetdmae)»] was shown to decompose over time and gave a crystal structure of the cluster [Ce14(dmae)22(N03)2O14(OH)4]. DmomH was found to be an unsuitable ligand for the formation of a homoleptic complex. A range of heteroleptic complexes were also synthesised by reaction of 2 equivalents of an alkoxide (dmop, dmom, dmomp) or β-diketonate ligand (thd, dbm) with the complexes [Ce(rnmp)4] and [Ce(dmap)4]. The thd ligand was found to be too strong a donor to make a heteroleptic complex resulting exclusively in the formation of [Ce(thd)4]. These reactions yielded the complexes [Ce(mmp)(L)2] (L=dbm, dmom, dmomp) and [Ce(dmap)2(dbm)2]. The reaction between [Ce(mmp)4] and 2 equivalents of dmop gave the dimer [Ce2(mmp)2(dmop)4O] which was characterised crystallographically. [Ce( mmp )4] and [Ce(dmap)4] were tested for use as precursors for the liquid- injection MOCVD and ALD of Ce02 thin films on a Si(100) substrate. The results were compared with the known precursors [Ce(thd)4] and [Ce(OC(CH3)2Pr')4], [Ce(thd)4] being the most commonly used precursor for Ce02 thin film growth. Both new precursors were found to be more volatile and have a temperature window of 200-600 QC for MOCVD. [Ce(dmap)4] showed growth at temperatures as low as 100 QC in ALD though was susceptible to decomposition via CVD processes at 250 QC. [Ce(mmp)4] was self-limiting over the temperature range of 150-350 QC. A range of heteroleptic Ti(IV) alkoxide complexes were also synthesised by reaction of either [Ti(OBut)4] or [Ti(OPri)4] with 2 equivalents of the desired alkoxide in an alkoxy exchange reaction to give complexes with the formula [Ti(OR)2(L)2] (R = But, Pri, L = dmae, mmp, dmop, dmom). [Ti(OPri)2(dmop)2] and [Ti(OBut)2( dmop )2] were characterised crystallographically. These compounds were used as precursors for the MOCVD of anatase Ti02 nanostructures on Si(100) and F -doped Sn02 substrates. [Ti(OPri)2( dmae )2] provided the optimum conditions of growth on both substrates at 450 QC for 180 minutes giving full coverage and uniform nanostructures. The precursor also interestingly grew nanorods at 600 QC on Si(100).
4

Pavard, Paul-Alexis. "Développement d’une méthode innovante d’identification de précurseurs ALD pour un matériau-cible : cas du sulfure de gallium." Thesis, Sorbonne université, 2021. https://accesdistant.sorbonne-universite.fr/login?url=https://theses-intra.sorbonne-universite.fr/2021SORUS524.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L’ALD est un procédé chimique efficace de déposition de couches minces en phase vapeur, bien que certains matériaux restent encore inaccessibles notamment par un manque de précurseurs adaptés. Les nombreux critères qu’ils doivent remplir (volatilité, stabilité thermique, réactivité) rendent difficile la prédiction du comportement de potentiels candidats. La mise au point d’une méthode d’évaluation des propriétés physicochimiques permettrait d’accélérer l’accès à de nouveaux précurseurs ALD et de mieux comprendre les réactions en surface. Ce travail s’intéresse au développement de précurseurs pour le dépôt de sulfure de gallium. Des synthèses modulaires de complexes possédant des ligands azotés (guanidinate, amidinate, triazénide) donnent accès à des librairies de complexes, caractérisés structurellement (RMN, DRX) et thermiquement (ATG, DSC) et permettent des corrélations entre structures et propriétés thermiques. Des études de réactivité en solution et la comparaison à des réactivités ALD connues ont permis de valider une étape supplémentaire de sélection. Cette méthodologie a permis d’identifier quelques composés prometteurs parmi la trentaine synthétisée
ALD is an efficient chemical vapour phase process for the deposition of thin films, although some materials are still inaccessible due to a lack of suitable precursors. The numerous criteria they must meet (volatility, thermal stability, reactivity) make it difficult to predict the behaviour of potential candidates. The development of a method for evaluating physicochemical properties would accelerate access to new ALD precursors and improve understanding of surface reactions. This work focuses on the development of precursors for gallium sulphide deposition. Modular syntheses of complexes with nitrogen ligands (guanidinate, amidinate, triazenide) give access to libraries of complexes, characterised structurally (NMR, XRD) and thermally (ATG, DSC) and allow correlations between structures and thermal properties. Reactivity studies in solution and comparison with known ALD reactivites allowed to validate an additional selection step. This methodology allowed to identify a few promising candidates among the thirty or so synthesised
5

Sharma, Varun. "Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films." Master's thesis, Saechsische Landesbibliothek- Staats- und Universitaetsbibliothek Dresden, 2015. http://nbn-resolving.de/urn:nbn:de:bsz:14-qucosa-166627.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft
Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature
6

Baunemann, Arne. "Precursor chemistry of tantalum and niobium nitride for MOCVD and ALD applications." [S.l.] : [s.n.], 2006. http://deposit.ddb.de/cgi-bin/dokserv?idn=982633009.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Siegert, Uwe. "Silber(I)- und Kupfer(I) – Precursoren für CVD, ALD und Spin-Coating Prozesse." Doctoral thesis, Universitätsbibliothek Chemnitz, 2010. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-201000265.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Die vorliegende Arbeit beschäftigt sich mit der Synthese von Phosphan-Kupfer(I)- und Silber(I)-Thiocarboxylaten der Art [(nBu3P)mMSC(O)R] (m = 2, 3; M = Cu, Ag; R = Me, Ph). Die Verbindungen wurden in Hinsicht auf ihr Potential zur thermischen Abscheidung dünner Schichten untersucht. Weiterhin befasst sich diese Arbeit mit der Darstellung von Silber(I)- und Kupfer(I)-Carboxylaten, die im organischen Rest mindestens eine zusätzliche Donorfunktion besitzen ([(nBu3P)mMO2CR]; m = 1, 2; M = Ag, Cu; R = ungesättigter organischer Rest, CH2O(CH2)2OCH3). Das thermische Verhalten und die Anwendbarkeit dieser Komplexe zur Abscheidung dünner Metallschichten mittels CVD-Verfahren wurden untersucht. Das Verhalten von Phosphan-Silber und -Kupfer-Verbindungen in Lösung wurde mittels dynamischer NMR-Spektroskopie untersucht. Dazu wurden phosphankoordinierte Silber(I)- und Kupfer(I)-Acetate als Modellsystem benutzt und mit einem ausgewählten Vertreter der ungesättigten Carboxylate verglichen.
8

Dhakal, Dileep, Thomas Waechtler, Schulz Stefan E, Robert Mothes, Heinrich Lang, and Thomas Gessner. "In-situ XPS Investigation of the Surface Chemistry of a Cu(I) Beta-Diketonate Precursor and the ALD of Cu2O." Universitätsbibliothek Chemnitz, 2014. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-147534.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This poster was presented in the Materials for Advanced Metallization (MAM) 2014 Conference in Chemnitz, Germany. Abstract: Atomic Layer Deposition (ALD) has emerged as an ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)], has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. However, still many questions are unanswered regarding the underlying surface chemistry of the precursor on many substrates, leading to different growth modes during ALD. In this work, the surface chemistry of [(nBu3P)2Cu(acac)] on SiO2 substrate is investigated by in-situ X-ray photoelectron spectroscopy (XPS), reporting vital information about the oxidation state and the atomic concentration after chemisorption on the substrates kept at different temperatures. The aim of the investigation is to understand the stepwise change in the precursor oxidation state with increasing substrate temperature and to identify the temperature limit for the thermal ALD with this Cu precursor on SiO2. For the experiments, the Cu precursor was evaporated on SiO2 substrates kept at temperatures between 22 °C and 300 °C. The measured C/Cu and P/Cu concentration indicated that most of the nBu3P ligands were released either in the gas phase or during adsorption (Fig. 1a). No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. Similarly, in this temperature range the Auger parameter calculated from Cu 2p3/2 and Cu L3VV spectra was found to be 360.0±0.2 eV, comparable to Cu(I) oxidation state [3]. However, disproportionation of the Cu precursor was observed above 200 °C, since C/Cu concentration ratio decreased and substantial metallic Cu was present on the substrate. Hence, 145 °C is the temperature limit for the ALD of Cu2O from this precursor, as the precursor must not alter its chemical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle, comparable to previous experiments. References: [1] T. Waechtler, S. Oswald, N. Roth, A. Jakob, H. Lang, R. Ecke, S. E. Schulz, T. Gessner, A. Moskvinova, S. Schulze, M. Hietschold, J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler, S. -F. Ding, L. Hofmann, R. Mothes, Q. Xie, S. Oswald, C. Detavernier, S. E. Schulz, X. -P. Qu, H. Lang, T. Gessner, Microelectron. Eng., 88, 684 (2011). [3] J. P. Espinós, J. Morales, A. Barranco, A. Caballero, J. P. Holgado, A. R. González Elipe, J. Phys. Chem. B, 106, 6921 (2002).
9

Rego, Raquel Ferreira. "Estudo comparativo de precursores da PpIX (ALA e MAL) utilizados topicamente em terapia fotodinâmica." Universidade Federal de São Carlos, 2008. https://repositorio.ufscar.br/handle/ufscar/6945.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Made available in DSpace on 2016-08-17T18:39:29Z (GMT). No. of bitstreams: 1 2220.pdf: 1450706 bytes, checksum: 0253030468c7f8632d10be1f594463aa (MD5) Previous issue date: 2008-08-08
Universidade Federal de Sao Carlos
Photodynamic therapy (PDT) is a modality for treatment of tumors, and uses a combination of a drug (photosensitizer) and light in the presence of the molecular oxygen to selectively damage target tissue. In the absent of one of these components, the cytotoxic effect is not observed. Since 1990, many works in the literature studies the topical application of precursors of protoporphyrin IX (PpIX) in PDT, such 5- aminolevulinic acid (ALA) and methyl aminolevulinate (MAL). The purpose of this work was realized an comparative study in vivo between two commercial and available drugs precursors of PpIX, the ALAsense (5-aminolevulinic acid - ALA) from Russian and Metvix (methyl aminolevulinate MAL) from United Kingdom. Experiments were carried out in animals to analyze the performance and the ALA photodynamic MAL in liver of rats. The fluorescence spectra of the liver were collected at pre-determined time. The time of accumulation of PpIX was observed by 2 hours and 45 minutes for the ALA and MAL for 4 hours after application of drugs in the liver. The formation, accumulation and depth of penetration of PpIX in liver tissue were determined by fluorescence spectroscopy. Using a total of 21 animals were the irradiation of the liver fotossensibilizado with ALA or MAL alone with different doses of light (20, 50, 100 and 200J/cm2) or in a combination MAL + ALA to 8%, 16% and 32 dose of 100J/cm2. Thirty hours after the lighting, the animals were killed and livers removed. The area of necrosis of the liver was assessed macroscopically and the samples were prepared for histological study, considering especially the aspects and depth of necrosis. In histological analysis were carried out many aspects of necrosis and the normal liver. The depths of necrosis were measured and the threshold dose obtained using a mathematical model proposed in the literature. Moreover, the monitoring was carried out of O2 consumption of mitochondria isolated from livers of rats, after topical administration of drugs precursors of PpIX (ALA and MAL) in order to check the influence of these substances in mitochondrial bioenergetics. The results showed a higher penetration of MAL in the tissue, as well as greater depth of necrosis when compared to the ALA. These results suggest that MAL has a tendency to better photodynamic response than ALA to the criteria studied.
Terapia Fotodinâmica (TFD) é uma modalidade terapêutica para tratamento de tumores que provoca a destruição do tecido alvo através da combinação de uma droga (fotossensibilizador) e uma fonte de luz na presença de oxigênio molecular. Na ausência de algum desses componentes, o efeito citotóxico não é observado. Desde 1990, têm-se estudado a aplicação tópica de substâncias precursoras da protoporfirina IX (PpIX) associada à TFD, como o ácido 5-aminolevulínico (ALA) e o metil aminolevulinato (MAL). O objetivo do presente trabalho foi realizar um estudo comparativo in vivo entre duas substâncias precursoras da PpIX , o ALAsense (ácido 5-aminolevulínico - ALA) da Rússia e o Metvix (metil aminolevulinato MAL) do Reino Unido. Foram realizados experimentos em animais para analisar o desempenho fotodinâmico ALA e pelo MAL em fígado de ratos. Os espectros de fluorescência do fígado foram coletados em tempos prédeterminados. O tempo de acúmulo da PpIX observado foi de 2 horas e 45 minutos para o ALA e 4 horas para o MAL após a aplicação da droga no fígado. A formação, acúmulo e a profundidade de penetração da PpIX no tecido hepático foram determinados através da espectroscopia de fluorescência. Utilizando um total de 21 animais foi realizada a irradiação do fígado fotossensibilizado com ALA ou com MAL isoladamente com diferentes doses de luz (20, 50, 100 e 200J/cm2) ou na forma combinada MAL + ALA a 8%, 16 e 32% com dose de 100J/cm2. Trinta horas após a iluminação, os animais foram mortos e os fígados removidos. A área necrosada do fígado foi avaliada macroscopicamente e as amostras foram preparadas para o estudo histológico, considerando, principalmente, os aspectos e a profundidade da necrose. Na análise histológica realizada foram observados vários aspectos da necrose e da região normal do fígado. As profundidades de necrose foram medidas e a dose limiar obtida utilizando-se um modelo matemático proposto na literatura. Além disso, foi realizado o monitoramento do consumo de O2 de mitocôndrias isoladas de fígados de ratos, após administração tópica dos medicamentos precursores da PpIX (ALA e MAL) afim de verificar a influência dessas substâncias na bioenergética mitocondrial. Os resultados obtidos mostraram uma maior penetrabilidade do MAL no tecido, bem como uma maior profundidade de necrose quando comparado ao ALA. Esses resultados sugerem que o MAL possui uma tendência a melhor resposta fotodinâmica que o ALA para os critérios estudados.
10

Sadrnourmohamadi, Mehrnaz. "Removal of trihalomethanes precursors from surface waters typical for Canadian prairie and shield." International water association (IWA), 2013. http://hdl.handle.net/1993/30864.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Many Canadian water treatment plants supplied by surface waters of the Canadian Prairie and Shield have elevated concentrations of trihalomethanes (THMs), which exceed the provincial standards. These water sources are characterized by elevated levels of dissolved organic carbon (DOC) and varying levels of calcium hardness, which causes a challenge for the removal of THMs precursors. The objective of this study was to investigate the effect of two treatment methods: chemical coagulation and pre-ozonation-coagulation on the removal of DOC as the main THMs precursor. Surface waters typical for the Canadian Prairie and Canadian Shield were used in the experiments which includes Assiniboine River, Red River, and Rainy River. The effects of different experimental conditions such as coagulant dose, coagulant type, and solution pH as well as ozone dosage on THMs removal were investigated. The structural and chemical characteristics of natural organic matter and isolated humic substances, before and after the reaction with ozone, were studied using spectroscopic techniques. The results illustrated that the quality of source water (DOC characteristics, concentration of water DOC and Calcium) has a significant impact on THMs reduction by chemical coagulation and ozonation. Coagulation results showed that reduction of total DOC does not guarantee THMFP reduction and chemical coagulation should be optimized to remove the hydrophobic acid fraction which forms most THMs. The removal of DOC by aluminum-based coagulants was affected more by the concentration of polymeric and colloidal aluminum speciation. This finding is especially important for plants supplied by high alkalinity waters where pH adjustment is a serious challenge. The effect of pre-ozonation on coagulation varies depending on the concentration of calcium, which has the ability to form complexes with DOC compounds promoting their removal in coagulation. For the surface water with high levels of organic carbon and calcium hardness, ozonation prior to coagulation was beneficial in terms of DOC reduction. However, it showed the opposite effect on water with high levels of DOC accompanied with low level of calcium hardness. Spectroscopic results showed that ozonation of NOM and humic substances cause a significant reduction of aromatic and highly conjugated compounds (constituting primarily the hydrophobic acid fraction).
February 2016

Книги з теми "ALD precursors":

1

Fischer, Roland A. Precursor chemistry of advanced materials: CVD, ALD and nanoparticles. Berlin: Springer, 2010.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Funk, Robert Walter. Jesus as precursor. Sonoma, CA: Polebridge Press, 1994.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

D'Ancona, Alessandro. I precursori di Dante. Bologna: A. Forni Editore, 1989.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

D'Ancona, Alessandro. I precursori di Dante. Sala Bolognese: Forni, 1989.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Schilling, Getúlio. Cezimbra Jacques, o precursor. [Santa Maria, Brazil]: Universidade Federal de Santa Maria, Instituto de Preservação da Memoria Cultural de Santa Maria e Região, 1986.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Donnelly, J. F. GCSE technology: Some precursors and issues. (Leeds): Education for Capability Research Group, University of Leeds School of Education, 1992.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Pelfort, Jorge. Precursor de nuestra educación. Montevideo, Uruguay: Ediciones de la Plaza, 1988.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Vega, Juan José. Guamán Poma, el precursor. Lima, Peru: Derrama Magisterial, 1998.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Rhodes, Elizabeth. The unrecognized precursors of Montemayor's Diana. Columbia: University of Missouri Press, 1992.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Pereira, Maria Antonieta. Ricardo Piglia y sus precursores. Buenos Aires: Corregidor, 2001.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Частини книг з теми "ALD precursors":

1

Putkonen, Matti. "Precursors for ALD Processes." In Atomic Layer Deposition of Nanostructured Materials, 41–59. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2012. http://dx.doi.org/10.1002/9783527639915.ch3.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Gordon, Roy G. "ALD Precursors and Reaction Mechanisms." In Atomic Layer Deposition for Semiconductors, 15–46. Boston, MA: Springer US, 2013. http://dx.doi.org/10.1007/978-1-4614-8054-9_2.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Wang, Chi-Yuen, and Michael Manga. "Hydrologic Precursors." In Lecture Notes in Earth System Sciences, 343–68. Cham: Springer International Publishing, 2021. http://dx.doi.org/10.1007/978-3-030-64308-9_13.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
AbstractPredicting earthquakes is a long-desired goal. The main challenge is to identify precursory signals that reliably predict the impending earthquake. Since hydrological and hydrogeochemical properties and processes can be very sensitive to minute strains, the hope is that measurements from hydrological systems might record precursory rock deformation that would otherwise be undetectable. Of the many hundreds of studies, we review a subset to illustrate how signals can be challenging to interpret and highlight questions raised by observations—examples come from China, Japan, Taiwan, India, the USA, Russia, France, Italy and Iceland. All are retrospective studies. Some signals seem to have no other explanation than being precursory, however, rarely is enough data available to undertake a thorough analysis. Some hydrological precursors might be recording deformation events that are slower than traditional earthquakes (and hence usually harder to detect). Long times series of data are critical for both identifying putative precursors and assessing their origin and reliability.
4

Beullens, Pieter. "Precursors." In The Friar and the Philosopher, 19–45. London: Routledge, 2022. http://dx.doi.org/10.4324/9781003305545-3.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Austin, Tricia. "Precursors." In Narrative Environments and Experience Design, 29–48. New York, NY: Routledge, 2020.: Routledge, 2020. http://dx.doi.org/10.4324/9780367138073-2.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Padovani, Andrea, and Peter G. Stein. "Precursors." In A Treatise of Legal Philosophy and General Jurisprudence, 258–86. Dordrecht: Springer Netherlands, 2007. http://dx.doi.org/10.1007/978-94-017-9880-8_5.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Lobban, Michael. "Precursors." In A Treatise of Legal Philosophy and General Jurisprudence, 1–28. Dordrecht: Springer Netherlands, 2016. http://dx.doi.org/10.1007/978-94-024-0913-0_1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Lobban, Michael. "Precursors." In A Treatise of Legal Philosophy and General Jurisprudence, 1–28. Dordrecht: Springer Netherlands, 2016. http://dx.doi.org/10.1007/978-94-024-0913-0_1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Havertz, Ralf. "Radical Right Populist Precursors of AfD." In Radical Right Populism in Germany, 22–33. Abingdon, Oxon ; New York, NY : Routledge, 2021. | Series: Routledge studies in fascism and the far right: Routledge, 2021. http://dx.doi.org/10.4324/9780367815981-3.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Wang, Chi-Yuen, and Michael Manga. "Hydrologic Precursors." In Earthquakes and Water, 141–59. Berlin, Heidelberg: Springer Berlin Heidelberg, 2009. http://dx.doi.org/10.1007/978-3-642-00810-8_9.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Тези доповідей конференцій з теми "ALD precursors":

1

Pinchart, Audrey, Nicolas Blasco, Christophe Lachaud, Anthony Schleisman, Christian Dussarrat, Ikuo Suzuki, and K. Yanagita. "Novel Thermally-Stable Hafnium and Zirconium ALD Precursors." In 2007 IEEE/SEMI Advanced Semiconductor Manufacturing Conference. IEEE, 2007. http://dx.doi.org/10.1109/asmc.2007.375090.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Breeden, Michael, Victor Wang, Francis Yu, and Andrew C. Kummel. "Grain Structure – Resistivity Relationship of Ru ALD Precursors." In 2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA). IEEE, 2021. http://dx.doi.org/10.1109/vlsi-tsa51926.2021.9440068.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Erickson, Kathleen, Thuc Dinh, Eric Ellsworth, and Hongxu Duan. "Improved Liquid Source Vaporization for CVD & ALD Precursors." In 2019 30th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC). IEEE, 2019. http://dx.doi.org/10.1109/asmc.2019.8791829.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Pokoj, Michael, Igor Nemeth, Kerstin Volz, Daniel Gaess, Alexei Merkoulov, Jorg Sundermeyer, and Wolfgang Stolz. "Novel Ta-precursors for the CVD and ALD of TaNx diffusion barrier layers." In 2007 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2007. http://dx.doi.org/10.7567/ssdm.2007.p-2-5.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Rochat, Raphael, Ivan Oschchepkov, and Changhee Ko. "Pure Co films of low resistivity and high conformality by low temperature thermal CVD/ALD using novel Co precursors." In 2017 IEEE International Interconnect Technology Conference (IITC). IEEE, 2017. http://dx.doi.org/10.1109/iitc-amc.2017.7968940.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Tynyshtykbayev, Kurbangali, Chistos Spitas, Konstantinos Kostas, and Zinetula Insepov. "GRAPHENE LOW-TEMPERATURE SYNTHESIS ON POROUS SILICON." In International Forum “Microelectronics – 2020”. Joung Scientists Scholarship “Microelectronics – 2020”. XIII International conference «Silicon – 2020». XII young scientists scholarship for silicon nanostructures and devices physics, material science, process and analysis. LLC MAKS Press, 2020. http://dx.doi.org/10.29003/m1551.silicon-2020/40-44.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The possibility of low-temperature synthesis of graphene on the surface of porous silicon (PS) is associated with the excess surface energies of nc-PS nanocrystallites ; the boundary interface nanocrystallties nc-PS / c-Si monocrystal matrix; the dangling bonds of silicon atoms of nanocrystallites skeleton nc-PS. This opens up new prospects for the development of methods for the low-temperature synthesis of graphene without metal catalysts for the decomposition of carbon precursors, including using the ALD method.
7

Zhou, Longda, Ying Luo, Eddy Simoen, Hong Yang, Huaxiang Yin, Anyan Du, Huilong Zhu, et al. "Comparison of NBTI kinetics in RMG Si p-FinFETs featuring Atomic Layer Deposition Tungsten (ALD W) Filling Metal Using B2H6 and SiH4 Precursors." In 2019 IEEE 26th International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA). IEEE, 2019. http://dx.doi.org/10.1109/ipfa47161.2019.8984837.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Nwanna, Emeka Charles, Rigardt Alfred Maarten Coetzee, and Tien-Chien Jen. "Investigating the Purge Flow Rate in a Reactor Scale Simulation of an Atomic Layer Deposition Process." In ASME 2019 International Mechanical Engineering Congress and Exposition. American Society of Mechanical Engineers, 2019. http://dx.doi.org/10.1115/imece2019-10692.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Abstract This paper investigates the purge flow rate in a reactor scale simulation of an Atomic Layer Deposition (ALD) process. A three-dimensional numerical analysis approach was implemented in the ALD process to fabricate thin films of aluminium oxide (Al2O3). Despite the abundance of literature on the specific use of, and increase in deposited material through the process of ALD, limited studies exist on the physical and chemical processes that occur during the growth of ALD. Previous literature has indicated that purging has presented a major challenge in the effective deposition rate of the ALD process. The precise purge flow rate has also been greatly contended. The importance of the purge sequence within the ALD process cannot be overemphasized. The term purge sequence refers to the essential property that defines the ALD advanced nano-fabrication technique in producing ultra-thin film. Therefore, this study focused on the purge flow rate effects of the ALD process. The reactants employed in the simulation process were trimethyl-aluminium (TMA) and ozone (O3) as the metal and oxidant precursors, respectively, and inert argon as the purge gas. Numerical simulations were carried out at a stable operating pressure of 1 torr, with a substrate temperature of 200°C, and three purge flow rates of 20, 10 and 5 sccm, respectively. An extended ozone exposure is crucial to in providing an adequately oxidized substrate. It is discovered that the 5 sccm flow rate shows, superior mass fractions, unity surface coverage and a time extensive surface deposition rate. The 20 sccm, 10 sccm and 5 sccm purge flow rate growth obtained a 0.58, 0.92, and 1.6 Å/cycle, respectively. The findings revealed close similarities to experimental behaviours and recorded growth.
9

Coetzee, Rigardt Alfred Maarten, and Tien-Chien Jen. "The Mechanistic Process Comparison Between a Novel Slotted Injection Manifold Versus the Multiple Injection Manifold of a Low Pressure Square Type Atomic Layer Deposition Reactor." In ASME 2018 International Mechanical Engineering Congress and Exposition. American Society of Mechanical Engineers, 2018. http://dx.doi.org/10.1115/imece2018-86401.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Advanced manufacturing techniques have obtained global interest, especially fabricating nanotechnology products. One such technique called atomic layer deposition (ALD) has without doubt manifested itself as an attractive key-enabling nanotechnology that is able to deposit ultrathin, uniform, conformal and pinhole-free nano-films on complex topography. It is utilized in fabrication of superconductors, solar cells, fuel cells, microelectronics, and medical equipment, among other applications. Nonetheless, the ALD process properties and thermal, chemical and flow behaviors are still not well enough understood to provide detailed information, resulting in numerous impasses. In this study, a novel slotted inlet manifold is designed and analyzed in comparison to that of the standard spliced eight-inlet manifold that is currently adopted in a Gemstar 6 reactor. By means of computational fluid dynamics (CFD) the study is centered in observing the impact on the deposition rate due to the different flow patterns of the two ALD reactor designs. The film material of interest is Al2O3 fabricated by the sequential injection of TMA and O3 precursors with argon gas as the purge substance in the ALD reactors. The numerical model adopts the governing laws of the conservation of mass, momentum, energy, species, and kinetic chemical reactions to analyze the behavior in a reactor scale domain. These equations are solved by using the software ANSYS Fluent and ChemkinPro. The end results are validated by available literature.
10

Scharf, T. W., S. V. Prasad, M. T. Dugger, and T. M. Mayer. "Atomic Layer Deposition of Solid Lubricant Thin Films." In World Tribology Congress III. ASMEDC, 2005. http://dx.doi.org/10.1115/wtc2005-63566.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Tungsten disulphide (WS2) and molybdenum disulfide (MoS2), which belong to the family of transition metal dichalcogenides, are well known for their solid lubricating behavior. Thin films of MoS2 and WS2 exhibit extremely low coefficient of friction (COF ∼0.02 to 0.05) in dry environments, and are typically applied by sputter deposition, pulsed laser ablation, evaporation or chemical vapor deposition, which are essentially either line-of-sight or high temperature processes. With these techniques it is difficult to coat surfaces shadowed from the target, or uniformly coat sidewalls of three-dimensional or high aspect ratio structures. For applications such as micromechanical (MEMS) devices, where dimensions and separation tolerances are small, and aspect ratios are large, these traditional deposition techniques are inadequate. Atomic layer deposition (ALD) is a chemical vapor deposition technique that could overcome many of these problems by using sequential introduction of gaseous precursors and selective surface chemistry to achieve controlled growth at lower temperatures, but the chemistry needed to grow transition metal dichalcogenide films by ALD is not known.

Звіти організацій з теми "ALD precursors":

1

Soloviev, Vladimir, Andrii Bielinskyi, and Viktoria Solovieva. Entropy Analysis of Crisis Phenomena for DJIA Index. [б. в.], June 2019. http://dx.doi.org/10.31812/123456789/3179.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The Dow Jones Industrial Average (DJIA) index for the 125-year-old (since 1896) history has experienced many crises of different nature and, reflecting the dynamics of the world stock market, is an ideal model object for the study of quantitative indicators and precursors of crisis phenomena. In this paper, the classification and periodization of crisis events for the DJIA index have been carried out; crashes and critical events have been highlighted. Based on the modern paradigm of the theory of complexity, a spectrum of entropy indicators and precursors of crisis phenomena have been proposed. The entropy of a complex system is not only a measure of uncertainty (like Shannon's entropy) but also a measure of complexity (like the permutation and Tsallis entropy). The complexity of the system in a crisis changes significantly. This fact can be used as an indicator, and in the case of a proactive change as a precursor of a crisis. Complex systems also have the property of scale invariance, which can be taken into account by calculating the Multiscale entropy. The calculations were carried out within the framework of the sliding window algorithm with the subsequent comparison of the entropy measures of complexity with the dynamics of the DJIA index itself. It is shown that Shannon's entropy is an indicator, and the permutation and Tsallis entropy are the precursors of crisis phenomena to the same extent for both crashes and critical events.
2

Sneddon, L. G. Molecular and polymeric ceramic precursors. Office of Scientific and Technical Information (OSTI), August 1991. http://dx.doi.org/10.2172/6112311.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Sneddon, L. G. Molecular and polymeric ceramic precursors. Office of Scientific and Technical Information (OSTI), June 1992. http://dx.doi.org/10.2172/6986695.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Paciorek, K. L. Boron Nitride and Its Precursors. Fort Belvoir, VA: Defense Technical Information Center, February 1991. http://dx.doi.org/10.21236/ada233538.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Rafaeli, Ada, Wendell Roelofs, and Anat Zada Byers. Identification and gene regulation of the desaturase enzymes involved in sex-pheromone biosynthesis of pest moths infesting grain. United States Department of Agriculture, March 2008. http://dx.doi.org/10.32747/2008.7613880.bard.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The original objectives of the approved proposal included: 1. Establishment of the biosynthetic pathways for pheromone production using labeled precursors and GC-MS. 2. The elucidation of a circadian regulation of key enzymes in the biosynthetic pathway. 3. The identification, characterization and confirmation of functional expression of the delta-desaturases. 4. The identification of gene regulatory processes involved in the expression of the key enzymes in the biosynthetic pathway. Background to the topic: Moths constitute one of the major groups of pest insects in agriculture and their reproductive behavior is dependent on chemical communication. Sex-pheromone blends are utilized by a variety of moth species to attract conspecific mates. The sex pheromones used are commonly composed of blends of aliphatic molecules that vary in chain length, geometry, degree and position of double bonds and functional groups. They are formed by various actions of specific delta-desaturases to which chain shortening, elongation, reduction, acetylation, and oxidation of a common fatty acyl precursor is coupled. In most of the moth species sex-pheromone biosynthesis is under circadian control by the neurohormone, PBAN (pheromone-biosynthesis-activating neuropeptide). The development of specific and safe insect control strategies utilizing pheromone systems depends on a clear knowledge of the molecular mechanisms involved. In this proposal we aimed at identifying and characterizing specific desaturases involved in the biosynthetic pathway of two moth pest-speciesof stored products, P. interpunctella and S. cerealella, and to elucidate the regulation of the enzymes involved in pheromone biosynthesis. Due to technical difficulties the second stored product pest was excluded from the study at an early phase of the research project. Major conclusions: Within the framework of the planned objectives we confirmed the pheromone biosynthetic pathway of P. interpunctella and H. armigera by using labeled precursor molecules. In addition, in conjunction with various inhibitors we determined the PBAN-stimulated rate-limiting step for these biosynthetic pathways. We thereby present conclusive evidence that the enzyme Acetyl Coenzyme A Carboxylase is activated as a result of PBAN stimulation. We also found that P. interpunctella produce the main pheromone component Z9, E12 Tetradecenyl acetate through the action of a D11 desaturase working on the 16:Acid precursor. This is evidenced by the high amount of incorporation of ²H-labeled 16:Acid into pheromone when compared to the incorporation of ²H-labeled 14:Acid. However, in contrast to reports on other moth species, P. interpunctella is also capable of utilizing the 14:Acid precursor, although to a much lesser extent than the 16:Acid precursor. Despite the discovery of nine different desaturase gene transcripts in this species, from the present study it is evident that although PCR detected all nine gene transcripts, specific to female pheromone glands, only two are highly expressed whereas the other 7 are expressed at levels of at least 10⁵ fold lower showing very low abundance. These two genes correspond to D11-like desaturases strengthening the hypothesis that the main biosynthetic pathway involves a D11 desaturase.
6

Poannopoulos, John D. Interface Formation and Precursory Dynamics. Fort Belvoir, VA: Defense Technical Information Center, December 1987. http://dx.doi.org/10.21236/ada190741.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Norman, Arlan D. New Polymer Precursors to Boron and Silicon Nitrides. Fort Belvoir, VA: Defense Technical Information Center, June 1989. http://dx.doi.org/10.21236/ada210287.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Sneddon, L. G. Molecular and polymeric ceramic precursors. Research progress report. Office of Scientific and Technical Information (OSTI), June 1992. http://dx.doi.org/10.2172/10190813.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Soloviev, Vladimir, Victoria Solovieva, Anna Tuliakova, Alexey Hostryk, and Lukáš Pichl. Complex networks theory and precursors of financial crashes. [б. в.], October 2020. http://dx.doi.org/10.31812/123456789/4119.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Based on the network paradigm of complexity in the work, a systematic analysis of the dynamics of the largest stock markets in the world and cryptocurrency market has been carried out. According to the algorithms of the visibility graph and recurrence plot, the daily values of stock and crypto indices are converted into a networks and multiplex networks, the spectral and topological properties of which are sensitive to the critical and crisis phenomena of the studied complex systems. This work is the first to investigate the network properties of the crypto index CCI30 and the multiplex network of key cryptocurrencies. It is shown that some of the spectral and topological characteristics can serve as measures of the complexity of the stock and crypto market, and their specific behaviour in the pre-crisis period is used as indicators- precursors of critical phenomena.
10

Bielinskyi, A., S. Semerikov, V. Solovieva, and V. Soloviev. Levy distribution parameters as precursors of crisis phenomena. Видавничий будинок Мелітопольської міської друкарні, 2019. http://dx.doi.org/10.31812/123456789/3597.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
In spite of popularity of the Gaussian distribution in financial modeling, we demonstrated that Levy’s stable distribution is more suitable due to its theoretical reasons and analysis results. We study the possibility of construction indicators- precursors relying on one of the most power-law tailed distributions - Levy’s stable distribution. Here, we apply moving window based procedure for calculation of Levy’s parameters - a - stability and /?- skewness for daily values of Dow Jones Industrial Average (from 1 March 2000 to 28 March 2019), the gold price (from 1 April 1968 to 8 May 2019) and Brent crude oil price (from 2 January 1986 to 6 May 2019) which show their effectiveness as indicators of crisis states. For the construction of the indicators, were selected time series of and, accordingly, for oil. Dow Jones’ time series has the period from 2 January 1920 to 2019. We conclude that a and /3 parameters of Levy’s stable distribution of the observed assets, which demonstrate characteristic behavior for crash and critical states, can serve as an indicator-precursors of the unstable states.

До бібліографії