Добірка наукової літератури з теми "High mobility channels"

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся зі списками актуальних статей, книг, дисертацій, тез та інших наукових джерел на тему "High mobility channels".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Статті в журналах з теми "High mobility channels":

1

Sadana, Devendra, Steve Koester, Y. Sun, E. W. Kiewra, Stephen W. Bedell, A. Reznicek, John Ott, et al. "High Mobility Channels for Ultimate CMOS." ECS Transactions 3, no. 2 (December 21, 2019): 343–54. http://dx.doi.org/10.1149/1.2356294.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Houssa, Michel, Valeri V. Afanas'ev, Andre Stesmans, Marc Meuris, and Marc M. Heyns. "Progress Towards Passivation of High-Mobility Channels." ECS Transactions 25, no. 6 (December 17, 2019): 249–63. http://dx.doi.org/10.1149/1.3206624.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Wang, Wei, Leslie M. Shor, Eugene J. LeBoeuf, John P. Wikswo, and David S. Kosson. "Mobility of Protozoa through Narrow Channels." Applied and Environmental Microbiology 71, no. 8 (August 2005): 4628–37. http://dx.doi.org/10.1128/aem.71.8.4628-4637.2005.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
ABSTRACT Microbes in the environment are profoundly affected by chemical and physical heterogeneities occurring on a spatial scale of millimeters to micrometers. Physical refuges are critical for maintaining stable bacterial populations in the presence of high predation pressure by protozoa. The effects of microscale heterogeneity, however, are difficult to replicate and observe using conventional experimental techniques. The objective of this research was to investigate the effect of spatial constraints on the mobility of six species of marine protozoa. Microfluidic devices were created with small channels similar in size to pore spaces in soil or sediment systems. Individuals from each species of protozoa tested were able to rapidly discover and move within these channels. The time required for locating the channel entrance from the source well increased with protozoan size and decreased with channel height. Protozoa of every species were able to pass constrictions with dimensions equal to or smaller than the individual's unconstrained cross-sectional area. Channel geometry was also an important factor affecting protozoan mobility. Linear rates of motion for various species of protozoa varied by channel size. In relatively wide channels, typical rates of motion were 300 to 500 μm s−1 (or about 1 m per hour). As the channel dimensions decreased, however, motilities slowed more than an order of magnitude to 20 μm s−1. Protozoa were consistently observed to exhibit several strategies for successfully traversing channel reductions. The empirical results and qualitative observations resulting from this research help define the physical limitations on protozoan grazing, a critical process affecting microbes in the environment.
4

OKTYABRSKY, S., P. NAGAIAH, V. TOKRANOV, M. YAKIMOV, R. KAMBHAMPATI, S. KOVESHNIKOV, D. VEKSLER, N. GOEL, and G. BERSUKER. "ELECTRON SCATTERING IN BURIED InGaAs/HIGH-K MOS CHANNELS." International Journal of High Speed Electronics and Systems 20, no. 01 (March 2011): 95–103. http://dx.doi.org/10.1142/s012915641100643x.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Hall electron mobility in buried QW InGaAs channels, grown on InP substrates with HfO 2 gate oxide, is analyzed experimentally and theoretically as a function of top barrier thickness and composition, carrier density, and temperature. Temperature slope α in μ ~Tα dependence is changing from α=-1.1 to +1 with the reduction of the top barrier thickness indicating the dominant role of remote Coulomb scattering (RCS) in interface-related contribution to mobility degradation. Insertion of low-k SiO x interface layer formed by oxidation of thin in-situ MBE grown amorphous Si passivation layer has been found to improve the channel mobility, but at the expense of increased EOT. This mobility improvement is also consistent with dominant role of RCS. We were able to a obtain a reasonable match between experiment and simple theory of the RCS assuming the density of charges at the high-k/barrier interface to be in the range of (2-4)×1013 cm-2.
5

Rössner, B., D. Chrastina, G. Isella, and H. von Känel. "Scattering mechanisms in high-mobility strained Ge channels." Applied Physics Letters 84, no. 16 (April 19, 2004): 3058–60. http://dx.doi.org/10.1063/1.1707223.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Gudjónsson, G., H. Ö. Ólafsson, Fredrik Allerstam, Per Åke Nilsson, Einar Ö. Sveinbjörnsson, T. Rödle, and R. Jos. "Field Effect Mobility in n-Channel Si Face 4H-SiC MOSFET with Gate Oxide Grown on Aluminium Ion-Implanted Material." Materials Science Forum 483-485 (May 2005): 833–36. http://dx.doi.org/10.4028/www.scientific.net/msf.483-485.833.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
We report investigations of Si face 4H-SiC MOSFETs with aluminum ion implanted gate channels. High quality SiO2/SiC interface is obtained both when the gate oxide is grown on p-type epitaxial material and when grown on ion implanted regions. A peak field effect mobility of 170 cm2/Vs is extracted from transistors with epitaxially grown channel region of doping 5x1015 cm-3. Transistors with implanted gate channels with aluminum concentration of 1x1017 cm-3 exhibit peak field effect mobility of 108 cm2/Vs, while the mobility is 62 cm2/Vs for aluminum concentration of 5x1017 cm-3. The mobility reduction with increasing acceptor density follows the same functional relationship as in n-channel Si MOSFETs.
7

Oh, Jungwoo, Kanghoon Jeon, Se-Hoon Lee, Jeff Huang, P. Y. Hung, Injo Ok, Barry Sassman, Dae-Hong Ko, Paul Kirsch, and Raj Jammy. "High mobility CMOS transistors on Si/SiGe heterostructure channels." Microelectronic Engineering 97 (September 2012): 26–28. http://dx.doi.org/10.1016/j.mee.2012.02.030.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Nishii, Junya, Faruque M. Hossain, Shingo Takagi, Tetsuya Aita, Koji Saikusa, Yuji Ohmaki, Isao Ohkubo, et al. "High Mobility Thin Film Transistors with Transparent ZnO Channels." Japanese Journal of Applied Physics 42, Part 2, No. 4A (April 1, 2003): L347—L349. http://dx.doi.org/10.1143/jjap.42.l347.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Kawanishi, Takafumi, Takaaki Fujiwara, Megumi Akai-Kasaya, Akira Saito, Masakazu Aono, Junichi Takeya, and Yuji Kuwahara. "High-mobility organic single crystal transistors with submicrometer channels." Applied Physics Letters 93, no. 2 (July 14, 2008): 023303. http://dx.doi.org/10.1063/1.2953179.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Sheng, Zhichao, Hoang Duong Tuan, Ha H. Nguyen, and Yong Fang. "Pilot Optimization for Estimation of High-Mobility OFDM Channels." IEEE Transactions on Vehicular Technology 66, no. 10 (October 2017): 8795–806. http://dx.doi.org/10.1109/tvt.2017.2694821.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Дисертації з теми "High mobility channels":

1

Palmer, Martin John. "Investigation of high mobility pseudomorphic SiGe p channels in Si MOSFETS at low and high electric fields." Thesis, University of Warwick, 2001. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.246761.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Hutin, Louis. "Etude des transistors MOSFET à barrière Schottky, à canal Silicium et Germanium sur couches minces." Grenoble INPG, 2010. http://www.theses.fr/2010INPG0159.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Jusqu’au début des années 2000, les règles de scaling de Dennard ont permis de réaliser des gains en performance tout en conservant la structure de la brique de base transistor d’une génération technologique à la suivante. Cependant, cette approche conservatrice a d’ores et déjà atteint ses limites, comme en témoigne l’introduction de la contrainte mécanique pour les générations sub-130nm, et les empilements de grille métal/high-k pour les nœuds sub-65nm. Malgré l’introduction de diélectriques à forte permittivité, des limites en termes de courants de fuite de grille et de fiabilité ont ralenti la diminution de l’épaisseur équivalente d’oxyde (EOT). De façon concomitante, la diminution de la tension d’alimentation (VDD) est devenue une priorité afin de réduire la densité de puissance dissipée dans les circuits intégrés. D’où le défi actuel : comment continuer de réduire à la fois la longueur de grille et la tension d’alimentation plus rapidement que l’EOT sans pour autant dégrader le rapport de performances aux états passant et bloqué (ON et OFF) ? Diverses solutions peuvent être proposées, passant par des architectures s’éloignant du MOSFET conventionnel à canal Si avec source et drain dopés tel que défini en 1960. Une approche consiste en réaliser une augmentation du courant passant (ION) tout en laissant le courant à l’état bloqué (IOFF) et la tension de seuil (Vth) inchangés. Concrètement, deux options sont considérées en détail dans ce manuscrit à travers une revue de leurs motivations historiques respectives, les résultats de l’état de l’art ainsi que les obstacles (fondamentaux et technologiques) à leur mise en œuvre : i/ la réduction de la résistance parasite extrinsèque par l’introduction de source et drain métalliques (architecture transistor à barrière Schottky) ; ii/ la réduction de la résistance de canal intrinsèque par l’introduction de matériaux à haute mobilité à base de Germanium (CMOS Ge, canaux SiGe en contrainte compressive, co-intégration Dual Channel n-sSi/p-sSiGe). En particulier, nous étudions le cas de couches minces sur isolant (substrats SOI, SiGeOI, GeOI), un choix motivé par : la préservation de l’intégrité électrostatique pour les nœuds technologiques sub-22nm; la limitation du courant de fuite ambipolaire dans les SBFETs; la limitation du courant de fuites de jonctions dans les MOSFETs à base de Ge (qui est un matériau à faible bandgap). Enfin, nous montrons pourquoi et dans quelles conditions l’association d’une architecture SBFET et d’un canal à base de Germanium peut être avantageuse vis-à-vis du CMOS Silicium conventionnel
Until the early 2000’s Dennard’s scaling rules at the transistor level have enabled to achieve a performance gain while still preserving the basic structure of the MOSFET building block from one generation to the next. However, this conservative approach has already reached its limits as shown by the introduction of channel stressors for the sub-130 nm technological nodes, and later high-k/metal gate stacks for the sub-65 nm nodes. Despite the introduction of high-k gate dielectrics, constraints in terms of gate leakage and reliability have been delaying the diminution of the equivalent oxide thickness (EOT). Concurrently, lowering the supply voltage (VDD) has become a critical necessity to reduce both the active and passive power density in integrated circuits. Hence the challenge: how to keep decreasing both gate length and supply voltage faster than the EOT without losing in terms of ON-state/OFF-state performance trade-off? Several solutions can be proposed aiming at solving this conundrum for nanoscale transistors, with architectures in rupture with the plain old Silicon-based MOSFET with doped Source and Drain invented in 1960. One approach consists in achieving an ION increase while keeping IOFF (and Vth) mostly unchanged. Specifically, two options are considered in detail in this manuscript through a review of their respective historical motivations, state-of-the-art results as well as remaining fundamental (and technological) challenges: i/ the reduction of the extrinsic parasitic resistance through the implementation of metallic Source and Drain (Schottky Barrier FET architecture); ii/ the reduction of the intrinsic channel resistance through the implementation of Germanium-based mobility boosters (Ge CMOS, compressively-strained SiGe channels, n-sSi/p-sSiGe Dual Channel co-integration). In particular, we study the case of thin films on insulator (SOI, SiGeOI, GeOI substrates), a choice justified by: the preservation of the electrostatic integrity for the targeted sub-22nm nodes; the limitation of ambipolar leakage in SBFETs; the limitation of junction leakage in (low-bandgap) Ge-based FETs. Finally, we show why, and under which conditions the association of the SBFET architecture with a Ge-based channel could be potentially advantageous with respect to conventional Si CMOS
3

Sun, Xiao. "Characterization and Fabrication of High k dielectric-High Mobility Channel Transistors." Thesis, Yale University, 2014. http://pqdtopen.proquest.com/#viewpdf?dispub=3578458.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:

As the conventional scaling of Si-based MOSFETs would bring negligible or even negative merits for IC's beyond the 7-nm CMOS technology node, many perceive the use of high-mobility channels to be one of the most likely principle changes, in order to achieve higher performance and lower power. However, interface and oxide traps have become a major obstacle for high-mobility semiconductors (such as Ge, InGaAs, GaSb, GaN...) to replace Si CMOS technology.

In this thesis, the distinct properties of the traps in the high-k dielectric/high-mobility substrate system is discussed, as well as the challenges to characterize and passivate them. By modifying certain conventional gate admittance methods, both the fast and slow traps in Ge MOS gate stacks is investigated. In addition, a novel ac-transconductance method originated at Yale is introduced and demonstrated with several advanced transistors provided by collaborating groups, such as ultra-thin-body & box SO1 MOSFETs (CEA-LETI), InGaAs MOSFETs (IMEC, UT Austin, Purdue), and GaN MOS-HEMT (MIT).

By use of the aforementioned characterization techniques, several effective passivation techniques on high mobility substrates (Ge, InGaAs, GaSb, GeSn, etc.) are evaluated, including a novel Ba sub-monolayer passivation of Ge surface. The key factors that need to be considered in passivating high mobility substrates are revealed.

The techniques that we have established for characterizing traps in advanced field-effect transistors, as well as the knowledge gained about these traps by the use of these techniques, have been applied to the study of ionizing radiation effects in high-mobility-channel transistors, because it is very important to understand such effects as these devices are likely to be exposed to radiation-harsh environments, such as in outer space, nuclear plants, and during X-ray or UHV lithography. In this thesis, the total ionizing dose (TD) radiation effects of InGaAs-based MOSFETs and GaN-based MOS-HEMT are studied, and the results help to reveal the underlying mechanisms and inspire ideas for minimizing the TID radiation effects.

4

Chu, Rongming. "AlGaN-GaN single- and double-channel high electron mobility transistors /." View abstract or full-text, 2004. http://library.ust.hk/cgi/db/thesis.pl?ELEC%202004%20CHU.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Thesis (M. Phil.)--Hong Kong University of Science and Technology, 2004.
Includes bibliographical references (leaves 74-82). Also available in electronic version. Access restricted to campus users.
5

Pearson, John Lawson. "Scattering and mobility in indium gallium arsenide channel, pseudomorphic high electron mobility transistors (InGaAs pHEMTs)." Thesis, University of Glasgow, 1999. http://theses.gla.ac.uk/6613/.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Extensive transport measurements have been completed on deep and shallow-channelled InGaAs p-HEMTS of varying growth temperature, indium content, spacer thickness and doping density, with a view to thorough characterisation, both in the metallic and the localised regimes. Particular emphasis was given to MBE grown layers, with characteristics applicable for device use, but low measurement temperatures were necessary to resolve the elastic scattering mechanisms. Measurements made in the metallic regime included transport and quantum mobility - the former over a range of temperatures between 1.5K to 300K. Conductivity measurements were also acquired in the strong localisation regime between about 1.5K and 100K.Experimentally determined parameters were tested for comparison with those predicted by an electrostatic model. Excellent agreement was obtained for carrier density. Other parameters were less well predicted, but the relevant experimental measurements, including linear depletion of the 2DEG, were sensitive to any excess doping above a 'critical' value determined by the model. At low temperature (1.5K), it was found that in all samples tested, transport mobility was strongly limited at all carrier densities by a large q mechanism, possibly intrinsic to the channel. This was ascribed either to scattering by the long-range potentials arising from the indium concentration fluctuations or fluctuations in the thickness of the channel layer. This mechanism dominates the transport at lower carrier densities for all samples, but at high carrier density, an additional mechanism is significant for samples with the thinnest spacers tested (2.5nm). This is ascribed to direct electron interaction with the states of the donor layer, and produces a characteristic transport mobility peak.
6

Krishnamohan, Tejas. "Physics and technology of high mobility, strained germanium channel, heterostructure MOSFETs." access full-text online access from Digital Dissertation Consortium, 2006. http://libweb.cityu.edu.hk/cgi-bin/er/db/ddcdiss.pl?3219310.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Sand, Stephan. "Joint iterative channel and data estimation in high mobility MIMO-OFDM systems." Berlin Logos-Verl, 2009. http://d-nb.info/100018501X/04.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Cabello, Fusarés Maria. "MOS interface improvement based on boron treatments for high channel mobility SiC MOSFETs." Doctoral thesis, Universitat Politècnica de Catalunya, 2019. http://hdl.handle.net/10803/668243.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Although silicon (Si) is used in most current commercial power semiconductor components, Si capabilities are insufficient for new energy conversion requirements. Some of its important limitations are related with power losses, operation temperature, radiation hardness and switching speed. Then, new semiconductor materials must be developed to face the future global energetic challenges, overcoming Si intrinsic limitations. Silicon Carbide (SiC) is a proper wide bandgap (WBG) semiconductor with high critical electric field strength and a high saturation carrier’s drift velocity, which makes it able to sustain higher voltages with lower conduction losses. Furthermore, in a similar way to Si, SiC native oxide (SiO2) can be formed. However, a drawback of SiC MOSFETs is their poor oxide reliability and low channel mobility values attributed to a poor SiO2/SiC interface quality, with high density of interface traps (Dit) and near interface oxide traps (NIOTs). Nitridation processes, consisting in a nitric or nitrous oxide (NO, N2O) annealing is considered as the standard post oxidation annealing approach in 4H-SiC MOSFETs, being commonly used in commercial SiC power MOSFETs for reducing the Dit and NIOTs. However the nitridation interface passivation is not enough and, furthermore the limit of the improvement provided by nitridation has been reached. This thesis is focused on 4H-SiC-based power devices, particularly, on one of the major issues in SiC technology: to find a suitable and reliable fabrication process that improves the gate oxide and SiO2/SiC interface quality and reliability. Regarding electrical performances, we will focus on two of the major challenges of this field: the improvement of the inversion channel mobility, and the gate oxide stability, in order to further reduce the on-resistance and enhance the gate oxide reliability. Both problems are related to the defects near the SiO2/SiC interface. To meet these challenges and improve the current gate oxide quality state-of-the-art, several strategies were followed. We have worked on a newly interface passivation by oxynitridation methods combined with a boron diffusion treatment through the gate oxide. This novel approach allowed us to reach significantly high channel mobility values, up to 200 cm2/Vs. We also extensively studied the impact of the boron treatment parameters on the stability performances of our test structures, revealing some stability issues, especially at high temperature operation. In parallel, we have also worked on the improvement of the dielectric reliability by using a thin layer of a high-k material. On the other hand, equally important, we studied the different fabrication issues found during the gate dielectric optimisation process. Taking into account the specific performances of our devices, we adapted the electrical and physical characterization processes required for a complete study of this kind of high mobility devices (for both, oxide and interface quality characterization, and final electrical MOSFET performance). Finally, some studies which provide information about boron treatment impact on the oxide and interface traps, and about the global electrical behaviour of our devices are included in this thesis; concretely: i) A study on MOSFET mobility anisotropy, having into account different scattering mechanisms involved in channel carrier’s mobility. ii) The effect of MOSFET channel dimensions in the obtained channel mobility. iii) A comparison of B passivation effect on MOSFETs fabricated over 4H-SiC and 6H-SiC polytypes. As a result, despite our new boron doping process is still not mature to be used in commercial devices, it allowed us to progress in the understanding of some of the phenomena taking place at the SiO2/SiC interface, in the way to properly characterise and interpret them, and in the way to further improve the MOS structure on SiC.
El silici (Si) és el semiconductor utilitzat en la majoria de components comercials de potència, no obstant, les seves propietats intrínseques són insuficients per als nous requeriments de conversió energètica, fent que sigui necessari el desenvolupament de nous materials semiconductors. Les seves limitacions estan relacionades amb les pèrdues tèrmiques, la temperatura de funcionament, la resistència a la radiació o la velocitat de commutació. Un material semiconductor adequat és el Carbur de Silici (SiC) el qual té un alt valor de camp elèctric crític i un alt valor de saturació de la velocitat de portadors, cosa que el fa capaç de mantenir altes tensions amb menors pèrdues per conducció. A més a més, com passa amb el Si, es pot formar diòxid de silici (SiO2) natiu sobre el SiC. Un inconvenient dels MOSFETs de SiC és la baixa fiabilitat del òxids i els baixos valors de mobilitat de canal, atribuïts a una mala qualitat de la interfície SiO2/SiC, que conté una alta densitat de trampes a la interfície (Dit) i al òxid proper a la interfície (NIOTs). Els MOSFETs comercials de 4H-SiC són sotmesos a un procés tèrmic standard post-oxidació. Aquest consisteix en un recuit en òxid nítric o òxid nitrós (NO, N2O), amb propòsit de reduir la Dit i els NIOTs. Tot i així, la passivació de la interfície assolida mitjançant la nitridació no és suficient i s'ha arribat al límit de millora que pot proporcionar aquest procediment. Aquesta tesi està dirigida a resoldre un dels principals problemes de la tecnologia en 4H-SiC: trobar un procés de fabricació adequat i fiable que millori la qualitat i la fiabilitat tant de l’òxid de porta com de la interfície SiO2/SiC, per a la seva aplicació en dispositius de potència. Pel que fa a les prestacions elèctriques, ens centrem en dos dels principals reptes d’aquest àmbit: la millora de la mobilitat del canal d’inversió i l’estabilitat de l’òxid de porta, per tal de reduir la resistència del canal drenador-font i millorar la fiabilitat de l’òxid de porta. Per assolir aquests reptes i millorar la tecnologia actual lligada a l’optimització de l'òxid de porta, seguim diverses estratègies: Per una banda, utilitzar una nova passivació d’interfície mitjançant mètodes d’oxinitridació combinats amb un tractament de difusió de bor (B) a través de l’òxid de la porta. Estudiant també quin és el seu impacte sobre l’estabilitat de les estructures tant a temperatura ambient com a altes temperatures. Aquest nou procés ha permès assolir valors de mobilitat del canal significativament elevats, fins a 200 cm2/Vs. Per altra banda hem treballat en la millora de la fiabilitat del dielèctric mitjançant una capa prima d’un material d’alta k. Paral·lelament, s’han estudiat diferents problemes de fabricació trobats durant el procés d’optimització del dielèctric. Tenint en compte les prestacions específiques dels nostres dispositius, vam adaptar els processos de caracterització elèctrica i física necessaris per a un estudi complet, tant de la qualitat de l’òxid i la interfície, com per al rendiment elèctric del MOSFET final. Finalment, en aquest treball s’inclouen alguns estudis que proporcionen informació sobre l’impacte que té la difusió de B sobre la Dit, els NIOTs i, en general el comportament elèctric dels nostres dispositius. Concretament: i) L’anisotropia de la mobilitat dels MOSFETs, tenint en compte els diferents mecanismes de dispersió implicats en la mobilitat dels portadors. ii) L’efecte de les dimensions del canal sobre la mobilitat obtinguda. iii) Comparació de l’efecte de passivació que té el B sobre MOSFETs fabricats en els politipus 4H-SiC i 6H-SiC. Malgrat el procés de dopatge de bor presentat encara no està suficientment madur per ser utilitzat en dispositius comercials, ens ha permès progressar en la comprensió d'alguns dels fenòmens que tenen lloc a la interfície SiO2
9

Leitz, Christopher W. (Christopher William) 1976. "High mobility strained Si/SiGe heterostructure MOSFETs : channel engineering and virtual substrate optimization." Thesis, Massachusetts Institute of Technology, 2002. http://hdl.handle.net/1721.1/8440.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2002.
Includes bibliographical references (leaves 163-174).
High quality relaxed silicon-germanium graded buffers are an important platform for monolithic integration of high speed heterostructure field-effect transistors and III-V-based optoelectronics onto silicon substrates. In this thesis, dislocation dynamics in compositionally graded SiGe layers are explored and mobility enhancements in strained Si/SiGe metal-oxide-semiconductor field-effect transistors (MOSFETs) are evaluated. These results demonstrate the dramatic increases in microelectronics performance and functionality that can be obtained through use of the relaxed SiGe integration platform. By extending and modifying a model for dislocation glide kinetics in graded buffers to SiGe/Si, a complete picture of strain relaxation in SiGe graded buffers emerges. To investigate dislocation glide kinetics in these structures, a series of identical samples graded to 30% Ge have been grown at temperatures between 650ʻC and 900ʻC on (001)-, (001) offcut 6ʻ towards an in-plane <110>-, and (001) offcut 6ʻ towards an in-plane <100>-oriented Si substrates. The evolution of field threading dislocation density (TDD) with growth temperature in the on-axis samples indicates that dislocation nucleation and glide kinetics together control dislocation density in graded buffers. The TDD of samples grown on offcut substrates exhibits a more complicated temperature dependence, due to their reduced tendency towards dislocation pile-up formation at low temperature and dislocation reduction reactions at high temperature. Finally, by evaluating field threading dislocation density and dislocation pile-up density in a wide variety of SiGe graded buffers, a correlation between dislocation pile-up formation and increases in field threading dislocation density emerges.
(cont.) Record mobility strained Si p-MOSFETs have been fabricated on relaxed 40% Ge virtual substrates. Hole mobility enhancements saturate at virtual substrate compositions of 40% Ge and above, with mobility enhancements over twice that of co-processed bulk Si devices. In contrast, hole mobility in strained Si p-MOSFETs displays no strong dependence on strained layer thickness. These results indicate that strain is the primary variable in determining hole mobility in strained Si p-MOSFETs and that symmetric electron and hole mobility enhancements in strained Si MOSFETs can be obtained for virtual substrate compositions beyond 35% Ge. The effect of alloy scattering on carrier mobility in tensile strained SiGe surface channel MOSFETs is measured directly for the first time. Electron mobility is degraded much more severely than hole mobility in these heterostructures, in agreement with theoretical predictions. Dual channel heterostructures, which consist of the combination of buried compressively strained SiilyGey buried channels and tensile strained Si surface channels, grown on relaxed SilxGex virtual substrates, are explored in detail for the first time. Hole mobilities exceeding 700 cm2/V-s have been achieved by combining tensile strained Si surface channels and compressively strained 80% Ge buried channels grown on relaxed 50% Ge virtual substrates. This layer sequence exhibits nearly symmetric electron and hole mobilities, both enhanced relative to bulk Si ...
by Christopher W. Leitz.
Ph.D.
10

Liu, Dongmin. "Design, Fabrication and Characterization of InAlAs/InGaAs/InAsP Composite Channel HEMTs." The Ohio State University, 2008. http://rave.ohiolink.edu/etdc/view?acc_num=osu1213299848.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Книги з теми "High mobility channels":

1

Franco, Jacopo, Ben Kaczer, and Guido Groeseneken. Reliability of High Mobility SiGe Channel MOSFETs for Future CMOS Applications. Dordrecht: Springer Netherlands, 2014. http://dx.doi.org/10.1007/978-94-007-7663-0.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Groeseneken, Guido, Jacopo Franco, and Ben Kaczer. Reliability of High Mobility SiGe Channel MOSFETs for Future CMOS Applications. Springer, 2013.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Reliability Of High Mobility Sige Channel Mosfets For Future Cmos Applications. Springer, 2013.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Groeseneken, Guido, Jacopo Franco, and Ben Kaczer. Reliability of High Mobility SiGe Channel MOSFETs for Future CMOS Applications. Guido Groeseneken Jacopo Franco Ben Kaczer, 2016.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Частини книг з теми "High mobility channels":

1

Houssa, Michel, Peide Ye, and Marc Heyns. "High Mobility Channels." In High Permittivity Gate Dielectric Materials, 425–57. Berlin, Heidelberg: Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-36535-5_12.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Vahidi, Vahid, and Ebrahim Saberinia. "ICI Mitigation for High-Speed OFDM Communications in High-Mobility Vehicular Channels." In Advances in Intelligent Systems and Computing, 17–22. Cham: Springer International Publishing, 2017. http://dx.doi.org/10.1007/978-3-319-54978-1_3.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Young, Chadwin. "Channel Mobility." In High Permittivity Gate Dielectric Materials, 283–308. Berlin, Heidelberg: Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-36535-5_7.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Sveinbjörnsson, Einar O., G. Gudjónsson, Fredrik Allerstam, H. Ö. Ólafsson, Per Åke Nilsson, Herbert Zirath, T. Rödle, and R. Jos. "High Channel Mobility 4H-SiC MOSFETs." In Silicon Carbide and Related Materials 2005, 961–66. Stafa: Trans Tech Publications Ltd., 2006. http://dx.doi.org/10.4028/0-87849-425-1.961.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Okamoto, Mitsuo, Mieko Tanaka, Tsutomu Yatsuo, and Kenji Fukuda. "Fabrication of 4H-SiC p-Channel MOSFET with High Channel Mobility." In Silicon Carbide and Related Materials 2005, 1301–4. Stafa: Trans Tech Publications Ltd., 2006. http://dx.doi.org/10.4028/0-87849-425-1.1301.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Franco, Jacopo, Ben Kaczer, and Guido Groeseneken. "Channel Hot Carriers and Other Reliability Mechanisms." In Reliability of High Mobility SiGe Channel MOSFETs for Future CMOS Applications, 161–82. Dordrecht: Springer Netherlands, 2013. http://dx.doi.org/10.1007/978-94-007-7663-0_6.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Franco, Jacopo, Ben Kaczer, and Guido Groeseneken. "Introduction." In Reliability of High Mobility SiGe Channel MOSFETs for Future CMOS Applications, 1–17. Dordrecht: Springer Netherlands, 2013. http://dx.doi.org/10.1007/978-94-007-7663-0_1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Franco, Jacopo, Ben Kaczer, and Guido Groeseneken. "Degradation Mechanisms." In Reliability of High Mobility SiGe Channel MOSFETs for Future CMOS Applications, 19–66. Dordrecht: Springer Netherlands, 2013. http://dx.doi.org/10.1007/978-94-007-7663-0_2.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Franco, Jacopo, Ben Kaczer, and Guido Groeseneken. "Techniques and Devices." In Reliability of High Mobility SiGe Channel MOSFETs for Future CMOS Applications, 67–98. Dordrecht: Springer Netherlands, 2013. http://dx.doi.org/10.1007/978-94-007-7663-0_3.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Franco, Jacopo, Ben Kaczer, and Guido Groeseneken. "Negative Bias Temperature Instability in (Si)Ge pMOSFETs." In Reliability of High Mobility SiGe Channel MOSFETs for Future CMOS Applications, 99–129. Dordrecht: Springer Netherlands, 2013. http://dx.doi.org/10.1007/978-94-007-7663-0_4.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Тези доповідей конференцій з теми "High mobility channels":

1

Jungwoo Oh, Prashant Majhi, and Raj Jammy. "High mobility and advanced channels materials." In 2008 16th International Conference on Advanced Thermal Processing of Semiconductors (RTP). IEEE, 2008. http://dx.doi.org/10.1109/rtp.2008.4690534.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Yalcin, Mahmut, Aydin Akan, and Hakan Dogan. "Channel estimation for OFDM systems with high mobility fading channels." In 2009 International Conference on Ultra Modern Telecommunications & Workshops. ICUMT 2009. IEEE, 2009. http://dx.doi.org/10.1109/icumt.2009.5345398.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Youlong Cao, Meixia Tao, and Kangqi Liu. "Generalized signal alignment for high mobility OFDM channels." In 2015 International Workshop on High Mobility Wireless Communications (HMWC). IEEE, 2015. http://dx.doi.org/10.1109/hmwc.2015.7353349.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Zohra, Mahi Fatima, Hugues Marinchio, Cristophe Palermo, and Luca Varani. "Analytical admittance response of high mobility transistor channels." In 2016 IEEE Nuclear Science Symposium, Medical Imaging Conference and Room-Temperature Semiconductor Detector Workshop (NSS/MIC/RTSD). IEEE, 2016. http://dx.doi.org/10.1109/nssmic.2016.8069956.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Zhu, Liangliang, Zhaoyang Zhang, Huazi Zhang, Yu Zhang, and Caijun Zhong. "A spatial-temporal correlation model for high mobility wireless channels." In 2015 International Workshop on High Mobility Wireless Communications (HMWC). IEEE, 2015. http://dx.doi.org/10.1109/hmwc.2015.7354344.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Chen, Peiyao, Qiong Li, Qi Li, and Baoming Bai. "Design and performance of spinal codes over fading channels." In 2014 International Workshop on High Mobility Wireless Communications (HMWC). IEEE, 2014. http://dx.doi.org/10.1109/hmwc.2014.7000230.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Li Chen, Yushan Yakufu, Xiaojun Yuan, and Zichao Sun. "Design of BICM-ID for two-way relay channels." In 2015 International Workshop on High Mobility Wireless Communications (HMWC). IEEE, 2015. http://dx.doi.org/10.1109/hmwc.2015.7353346.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Zhao, Shancheng, Xiao Ma, Kai Zhang, and Baoming Bai. "Two-layer rateless codes over lattices for Gaussian broadcast channels." In 2013 International Workshop on High Mobility Wireless Communications (HMWC). IEEE, 2013. http://dx.doi.org/10.1109/hmwc.2013.6710317.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Ma, T. P. "Beyond-Si CMOS technologies based on high-mobility channels." In 2013 71st Annual Device Research Conference (DRC). IEEE, 2013. http://dx.doi.org/10.1109/drc.2013.6633766.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Qi, Cuiling, Zhaoyang Zhang, Xiqian Luo, and Huazi Zhang. "Differential space-time coding for cooperative relay system over frequency-selective channels." In 2014 International Workshop on High Mobility Wireless Communications (HMWC). IEEE, 2014. http://dx.doi.org/10.1109/hmwc.2014.7000235.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Звіти організацій з теми "High mobility channels":

1

Moran, Nava, Richard Crain, and Wolf-Dieter Reiter. Regulation by Light of Plant Potassium Uptake through K Channels: Biochemical, Physiological and Biophysical Study. United States Department of Agriculture, September 1995. http://dx.doi.org/10.32747/1995.7571356.bard.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The swelling of plant motor cells is regulated by various signals with almost unknown mediators. One of the obligatory steps in the signaling cascade is the activation of K+-influx channels -K+ channels activated by hyperpolarization (KH channels). We thus explored the regulation of these channels in our model system, motor cell protoplasts from Samanea saman, using patch-clamp in the "whole cell" configuration. (a) The most novel finding was that the activity of KH channels in situ varied with the time of the day, in positive correlation with cell swelling: in Extensor cells KH channels were active in the earlier part of the day, while in Flexor cells only during the later part of the day; (b) High internal pH promoted the activity of these channels in Extensor cells, opposite to the behavior of the equivalent channels in guard cells, but in conformity with the predicted behavior of the putative KH channel, cloned from S. saman recently; (c) HIgh external K+ concentration increased (KH channel currents in Flexor cells. BL depolarized the Flexor cells, as detected in cell-attached patch-clamp recording, using KD channels (the K+-efflux channels) as "voltage-sensing devices". Subsequent Red-Light (RL) pulse followed by Darkness, hyperpolarized the cell. We attribute these changes to the inhibition of the H+-pump by BL and its reactivation by RL, as they were abolished by an H+-pump inhibitor. BL increased also the activity KD channels, in a voltage-independent manner - in all probability by an independent signaling pathway. Blue-Light (BL), which stimulates shrinking of Flexor cells, evoked the IP3 signaling cascade (detected directly by IP3 binding assay), known to mobilize cytosolic Ca2+. Nevertheless, cytosolic Ca2+ . did not activate the KD channel in excised, inside-out patches. In this study we established a close functional similarity of the KD channels between Flexor and Extensior cells. Thus the differences in their responses must stem from different links to signaling in both cell types.

До бібліографії